Part Number Hot Search : 
2SD1594 F2001 MGF0904A DSPIC30F A102M 70HFR10 100LVEL ADC1410S
Product Description
Full Text Search
 

To Download LCMXO2-640ZE-1MG132C Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  machxo2? family data sheet ds1035 version 02.0, january 2013
www.latticesemi.com 1-1 ds1035 introduction_01.6 january 2013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. features ? flexible logic architecture ? six devices with 256 to 6864 lut4s and ? 19 to 335 i/os ? ultra low power devices ? advanced 65 nm low power process ? as low as 19 w standby power ? programmable low swing differential i/os ? stand-by mode and other power saving options ? embedded and distributed memory ? up to 240 kbits sysmem? embedded block ram ? up to 54 kbits distributed ram ? dedicated fifo control logic ? on-chip user flash memory ? up to 256 kbits of user flash memory ? 100,000 write cycles ? accessible through wishbone, spi, i 2 c and jtag interfaces ? can be used as soft processor prom or as flash memory ? pre-engineered source synchronous i/o ? ddr registers in i/o cells ? dedicated gearing logic ? 7:1 gearing for display i/os ? generic ddr, ddrx2, ddrx4 ? dedicated ddr/ddr2/lpddr memory with dqs support ? high performance, flexible i/o buffer ? programmable sysio? buffer supports wide range of interfaces: ? lvcmos 3.3/2.5/1.8/1.5/1.2 ? lvttl ?pci ? lvds, bus-lvds, mlvds, rsds, lvpecl ? sstl 25/18 ? hstl 18 ? schmitt trigger inputs, up to 0.5v hysteresis ? i/os support hot socketing ? on-chip differential termination ? programmable pull-up or pull-down mode ? flexible on-chip clocking ? eight primary clocks ? up to two edge clocks for high-speed i/o ? interfaces (top and bottom sides only) ? up to two analog plls per device with ? fractional-n frequency synthesis ? wide input frequency range (10 mhz to ? 400 mhz) ? non-volatile, infinitely reconfigurable ? instant-on ? powers up in microseconds ? single-chip, secure solution ? programmable through jtag, spi or i 2 c ? supports background programming of non-vola- tile memory ? optional dual boot with external spi memory ? transfr? reconfiguration ? in-field logic update while system operates ? enhanced system level support ? on-chip hardened functions: spi, i 2 c, timer/ counter ? on-chip oscillator with 5.5% accuracy ? unique traceid for system tracking ? one time programmable (otp) mode ? single power supply with extended operating range ? ieee standard 1149.1 boundary scan ? ieee 1532 compliant in-system programming ? broad range of package options ? tqfp, wlcsp, ucbga, csbga, cabga, ftbga, fpbga, qfn package options ? small footprint package options ? as small as 2.5x2.5mm ? density migration supported ? advanced halogen-free packaging machxo2 family data sheet introduction
1-2 introduction machxo2 family data sheet table 1-1. machxo2? family selection guide introduction the machxo2 family of ultra low power, instant-on, non-vo latile plds has six devices with densities ranging from 256 to 6864 look-up tables (luts). in addition to lut-based, low-cost programmable logic these devices feature embedded block ram (ebr), distributed ram, user flash memory (ufm), phase locked loops (plls), pre- engineered source synchronous i/o s upport, advanced configur ation support including dual-boot capability and hardened versions of commonly used functions such as spi controller, i 2 c controller and timer/counter. these fea- tures allow these devices to be used in low cost, high volume consumer and system applications. the machxo2 devices are designed on a 65nm non-volatile low power process. the device architecture has sev- eral features such as programmable low swing differential i/os and th e ability to turn off i/o banks, on-chip plls xo2-256 xo2-640 xo2-640u 1 xo2-1200 xo2-1200u 1 xo2-2000 xo2-2000u 1 xo2-4000 xo2-7000 luts 256 640 640 12 8 012 8 0 2112 2112 4320 6 8 64 distri bu ted ram (k b its) 255101016163454 ebr sram (k b its) 01 8 64 64 74 74 92 92 240 nu m b er of ebr sram blocks (9 k b its/ b lock) de v ice options 0277 88 10 10 26 ufm (k b its) 0                   24 64 64 8 0 8 0 96 96 256 nu m b er of plls packages i/os 0 hc 2 he 3 ze 4 011 11 2 22 hardened f u nctions: i 2 c spi timer/co u nter 2 1 1 2 1 1 2 1 1 2 1 1 2 1 1 2 1 1 2 1 1 2 1 1 2 1 1 25 w lcsp 5 (2.5 x 2.5mm, 0.4mm) 32 qf n 6 (5 x 5mm, 0.5mm) 1 8 64 u cbga (4 x 4mm, 0.4mm) 44 21 100 tqfp (14 x 14mm) 132 csbga ( 8 x 8 mm, 0.5mm) 144 tqfp (20 x 20mm) 256 cabga (14 x 14mm, 0. 8 mm) 256 ftbga (17 x 17mm, 1.0mm) 332 cabga (17 x 17mm, 0. 8 mm) 4 8 4 fpbga (23 x 23mm, 1.0mm) 1. ultra high i/o de v ice. 2. high performance with regulator ? v cc = 2.5v, 3.3v 3. high performance without regulator ? v cc = 1.2v 4. low power without regulator ? v cc = 1.2v 5. w lcsp package only a v aila b le for ze de v ices. 6. qf n package only a v aila b le for hc and ze de v ices. 7. 1 8 4 csbga package only a v aila b le for he de v ices. 27 8 27 8 334 274 27 8 206 206 206 206 206 206 206 107 107 111 114 114 55 79 104 104 104 55 7 8 79 79 1 8 4 csbga 7 ( 8 x 8 mm, 0.5mm) 150
1-3 introduction machxo2 family data sheet and oscillators dynamically. these feat ures help manage static and dynamic power consumption resulting in low static power for all members of the family. the machxo2 devices are available in two versions ? ultra low power (ze) and high performance (hc and he) devices. the ultra low power devices are offered in three speed grades -1, -2 and -3, with -3 being the fastest. sim- ilarly, the high-performance devices are offered in three speed grades: -4, -5 and -6, with -6 being the fastest. hc devices have an internal linear voltage regulator which supports external v cc supply voltages of 3.3v or 2.5v. ze and he devices only accept 1.2v as the external v cc supply voltage. with the exception of power supply voltage all three types of devices (ze, hc and he) are functionally compatible and pin compatible with each other. the machxo2 plds are available in a broad range of advanced halogen-free packages ranging from the space saving 2.5x2.5 mm wlcsp to the 23x23 mm fpbga. machxo2 devices support density migration within the same package. table 1-1 shows the lut densities, package and i/o options, along with other key parameters. the pre-engineered source synchronous logic implemented in the machxo2 device family supports a broad range of interface standards, incl uding lpddr, ddr, ddr2 and 7: 1 gearing for display i/os. the machxo2 devices offer enhanced i/o features such as drive strength control, slew rate control, pci compati- bility, bus-keeper latches, pu ll-up resistors, pull-down re sistors, open drain outputs and hot socketing. pull-up, pull- down and bus-keeper features are controllable on a ?per-pin? basis. a user-programmable internal oscillator is included in machxo2 devices. the clock output from this oscillator may be divided by the timer/counter for use as clock input in functions such as led control, key-board scanner and sim- ilar state machines. the machxo2 devices also provide flexible, reliable and secure configuration from on-chip flash memory. these devices can also configure themselves from external spi fl ash or be configured by an external master through the jtag test access po rt or through the i 2 c port. additionally, machxo2 devices support dual-boot capability (using external flash memory) and remote field upgrade (transfr) capability. lattice provides a variety of design tools that allow complex designs to be efficiently implemented using the machxo2 family of devices. popular logic synthesis tools provide synthesis library support for machxo2. lattice design tools use the synthesis tool output along with the user-specified preferences and constraints to place and route the design in the machxo2 device. these tools extract the timing from the routing and back-annotate it into the design for timing verification. lattice provides many pre-engineered ip (intellectual pr operty) latticecore? modules, including a number of reference designs licensed free of charge, optimized for the machxo2 pld family. by using these configurable soft core ip cores as standardized blocks, users are free to concentrate on the unique aspects of their design, increas- ing their productivity.
www.latticesemi.com 2-1 ds1035 architecture_01.5 january 2013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. architecture overview the machxo2 family architecture contains an array of logic blocks surrounded by programmable i/o (pio). the larger logic density devices in this family have sys clock? plls and blocks of sysmem embedded block ram (ebrs). figures 2-1 and 2-2 show the block diagrams of the various family members. figure 2-1. top view of the machxo2-1200 device figure 2-2. top view of the machxo2-4000 device sysmem embedded block ram (ebr) sysclock pll pios arranged into sysio banks programmable function units with distributed ram (pfus) embedded function block (efb) user flash memory (ufm) on-chip configuration flash memory note: machxo2-256, and machxo2-640/u are similar to machxo2-1200. machxo2-256 has a lower lut count and no pll or ebr blocks. machxo2-640 has no pll, a lower lut count and two ebr blocks. machxo2-640u has a lower lut count, one pll and seven ebr blocks. sysmem embedded block ram (ebr) programmable function units with distributed ram (pfus) on-chip configuration flash memory sysclock pll pios arranged into sysio banks embedded function block(efb) user flash memory (ufm) note: machxo2-1200u, machxo2-2000/u and machxo2-7000 are similar to machxo2-4000. machxo2-1200u and machxo2-2000 have a lower l ut count, one pll, and eight ebr blocks. machxo2-2000u has a lower lut count, two plls, and 10 ebr blocks. machxo2-7000 has a higher lut count, two plls, and 26 ebr blocks. machxo2 family data sheet architecture
2-2 architecture machxo2 family data sheet the logic blocks, programmable functional unit (pfu) and sysmem ebr blocks, are arranged in a two-dimen- sional grid with rows and columns. each row has either the logic blocks or the ebr blocks. the pio cells are located at the periphery of the device, arranged in banks. the pfu contains the building blocks for logic, arithmetic, ram, rom, and register functions. the pios utilize a flexible i/o buffer referred to as a sysio buffer that supports operation with a variety of interface standards. the blocks are connected with many vertical and horizontal routing channel resources. the place and route software tool automatically allocates these routing resources. in the machxo2 family, the number of sysio banks varies by device. there are different types of i/o buffers on the different banks. refer to the details in later sections of this document. the sysmem ebrs are large, dedicated fast memory blocks; these blocks are found in machxo2-640/u and larger devices. these blocks can be configured as ram, rom or fifo. fifo support includes dedicated fifo pointer and flag ?hard? control logic to minimize lut usage. the machxo2 architecture also provides up to two sysclock phase locked loop (pll) blocks on machxo2- 640u, machxo2-1200/u and larger devices. these blocks are located at the ends of the on-chip flash block. the plls have multiply, divide, and phase shifting capabilities that are used to manage the frequency and phase rela- tionships of the clocks. machxo2 devices provide commonly used hardened functions such as spi controller, i 2 c controller and timer/ counter. machxo2-640/u and higher density devices al so provide user flash memory (ufm). these hardened functions and the ufm interface to the core logic and routing through a wishbone interface. the ufm can also be accessed through the spi, i 2 c and jtag ports. every device in the family has a jtag port that supports programming and configuration of the device as well as access to the user logic. the machxo2 devices are available for operation from 3.3v, 2.5v and 1.2v power sup- plies, providing easy integration into the overall system. pfu blocks the core of the machxo2 device consists of pfu blocks , which can be programmed to perform logic, arithmetic, distributed ram and distributed rom functions. each pfu bloc k consists of four interconnected slices numbered 0 to 3 as shown in figure 2-3. each slice contains two luts and two registers. there are 53 inputs and 25 outputs associated with each pfu block. figure 2-3. pfu block diagram slice 0 lut4 & carry lut4 & carry ff/ latch fcin fco d ff/ latch d slice 1 lut4 & carry lut4 & carry slice 2 lut4 & carry lut4 & carry from routin g to routin g slice 3 lut4 & carry lut4 & carry ff/ latch d ff/ latch d ff/ latch d ff/ latch d ff/ latch d ff/ latch d
2-3 architecture machxo2 family data sheet slices slices 0-3 contain two lut4s feeding two registers. slices 0-2 can be configured as distributed memory. table 2-1 shows the capability of the s lices in pfu blocks along wit h the operation mode s they enable. in addition, each pfu contains logic that allows the luts to be combined to perform functions such as lut5, lut6, lut7 and lut8. the control logic performs set/reset functions (programma ble as synchronous/ asynchr onous), clock select, chip- select and wider ram/rom functions. table 2-1. resources and modes available per slice figure 2-4 shows an overview of the internal logic of the slice. the registers in the slice can be configured for posi- tive/negative and edge triggered or level sensitive clocks. all slices have 15 inputs from routing and one from the carry-chain (from the adjacent slice or pfu). there are seven outputs: six for routing and one to carry-chain (to the adjacent pfu). table 2-2 lists the signals associated with slices 0-3. figure 2-4. slice diagram slice pfu block resources modes slice 0 2 lut4s and 2 registers logic, ripple, ram, rom slice 1 2 lut4s and 2 registers logic, ripple, ram, rom slice 2 2 lut4s and 2 registers logic, ripple, ram, rom slice 3 2 lut4s and 2 registers logic, ripple, rom lut4 & carry slice flip-flop/ latch ofx0 f0 q0 ci co lut4 & carry ci co ofx1 f1 q1 f/sum f/sum d d fci from different slice/pfu memory & control signals fco to different slice/pfu lut5 mux from routing to routing for slices 0 and 1, memory control signals are generated from slice 2 as follows: ?wck is clk ?wre is from lsr ?di[3:2] for slice 1 and di[1:0] for slice 0 data from slice 2 ?wad [a:d] is a 4-bit address from slice 2 lut input a0 c0 d0 a1 b1 c1 d1 ce clk lsr m1 m0 fxb fxa b0 flip-flop/ latch
2-4 architecture machxo2 family data sheet table 2-2. slice signal descriptions modes of operation each slice has up to four potential modes of operation: logic, ripple, ram and rom. logic mode in this mode, the luts in each slice are configured as 4-input combinatorial lookup tables. a lut4 can have 16 possible input combinations. any four input logic functions can be generated by programming this lookup table. since there are two lut4s per slice, a lut5 can be cons tructed within one slice. larger look-up tables such as lut6, lut7 and lut8 can be constructed by concatenatin g other slices. note lut8 requires more than four slices. ripple mode ripple mode supports the efficient implementation of small arithmetic functions. in ripple mode, the following func- tions can be implemented by each slice: ? addition 2-bit ? subtraction 2-bit ? add/subtract 2-bit using dynamic control ? up counter 2-bit ? down counter 2-bit ? up/down counter with asynchronous clear ? up/down counter with preload (sync) ? ripple mode multiplier building block ? multiplier support ? comparator functions of a and b inputs ? a greater-than-or-equal-to b ? a not-equal-to b ? a less-than-or-equal-to b function type signal names description input data signal a0, b0, c0, d0 inputs to lut4 input data signal a1, b1, c1, d1 inputs to lut4 input multi-purpose m0/m1 multi-purpose input input control signal ce clock enable input control signal lsr local set/reset input control signal clk system clock input inter-pfu signal fcin fast carry in 1 output data signals f0, f1 lut4 output register bypass signals output data signals q0, q1 register outputs output data signals ofx0 output of a lut5 mux output data signals ofx1 output of a lut6, lut7, lut8 2 mux depending on the slice output inter-pfu signal fco fast carry out 1 1. see figure 2-3 for connection details. 2. requires two pfus.
2-5 architecture machxo2 family data sheet ripple mode includes an optional configuration that performs arithmetic using fast carry chain methods. in this con- figuration (also referred to as ccu2 mode) two additional signals, carry generate and carry propagate, are gener- ated on a per-slice basis to allow fast arithmetic fu nctions to be constructed by concatenating slices. ram mode in this mode, a 16x4-bit distributed single port ram (spr ) can be constructed by using each lut block in slice 0 and slice 1 as a 16x1-bit memory. slice 2 is used to provide memory address and control signals. a 16x2-bit pseudo dual port ram (pdpr) memory is created by usin g one slice as the read-write port and the other compan- ion slice as the read-only port. machxo2 devices support distri buted memory initialization. the lattice design tools support the creation of a variety of different size memories. where appropriate, the soft- ware will construct these using distribute d memory primitives that represent th e capabilities of the pfu. table 2-3 shows the number of slices required to implement different distributed ram primitives. for more information about using ram in machxo2 devices, please see tn1201, memory usage guide for machxo2 devices . table 2-3. number of slices required for implementing distributed ram rom mode rom mode uses the lut logic; hence, slices 0-3 can be used in rom mode. preloading is accomplished through the programming interface during pfu configuration. for more information on the ram and rom modes, please refer to tn1201, memory usage guide for machxo2 devices . routing there are many resources provided in the machxo2 devices to route signals individually or as buses with related control signals. the routing resources consist of switching circuitry, buffers and metal interconnect (routing) seg- ments. the inter-pfu connections are made with three different types of routing resources: x1 (spans two pfus), x2 (spans three pfus) and x6 (spans seven pfus). the x1, x2, and x6 connections provide fast and efficient connec- tions in the horizontal and vertical directions. the design tools take the output of the synthesis tool a nd places and routes the design. generally, the place and route tool is completely automatic, although an interactive routing editor is available to optimize the design. clock/control distribution network each machxo2 device has eight clock inputs (pclk [t, c] [banknum]_[2..0]) ? three pins on the left side, two pins each on the bottom and top sides and one pin on the right side. these clock inputs drive the clock nets. these eight inputs can be differential or single-ended and may be used as general purpose i/o if they are not used to drive the clock nets. when using a single ended clock input, only the pclkt input can drive the clock tree directly. the machxo2 architecture has three types of clocking resources: edge clocks, primary clocks and secondary high fanout nets. machxo2-640u, machxo2-1200/u and higher density devices have two edge clocks each on the top and bottom edges. lower density devices have no edge clocks. edge clocks are used to clock i/o registers and have low injection time and skew. edge clock inputs are from pll outputs, primary clock pads, edge clock bridge outputs and cib sources. spr 16x4 pdpr 16x4 number of slices 3 3 note: spr = single port ram, pdpr = pseudo dual port ram
2-6 architecture machxo2 family data sheet the eight primary clock lines in the primary clock network drive throughout the entire device and can provide clocks for all resources within the device including pfus, ebrs and pics. in addition to the primary clock signals, machxo2 devices also have eight secondary high fanout si gnals which can be used for global control signals, such as clock enables, synchronous or asynchronous clears, presets, output enables, etc. internal logic can drive the global clock network for internally-generated global clocks and control signals. the maximum frequency for the primary clock network is shown in the machxo2 external switching characteris- tics table. the primary clock signals for the machxo2-256 and machxo2-640 are generated from eight 17:1 muxes the available clock sources include eight i/o sources and 9 routing inputs. primary clock signals for the machxo2- 640u, machxo2-1200/u and larger devices are generated from eight 27:1 muxes the available clock sources include eight i/o sources, 11 routing inputs, eight clock divider inputs and up to eight sysclock pll outputs. figure 2-5. primary clocks for machxo2 devices 811 clock pads routing primary clock 0 primary clock 1 primary clock 2 primary clock 3 primary clock 4 primary clock 5 primary clock 6 8 edge clock divider primary clocks for machxo2-640u, machxo2-1200/u and larger devices. note: machxo2-640 and smaller devices do not have inputs from the edge clock divider or pll and fewer routing inputs. these devices have 17:1 muxes instead of 27:1 muxes. primary clock 7 dynamic clock enable dynamic clock enable dynamic clock enable dynamic clock enable dynamic clock enable 27:1 27:1 27:1 27:1 27:1 27:1 27:1 27:1 27:1 27:1 up to 8 pll outputs dynamic clock enable dynamic clock enable dynamic clock enable clock switch clock switch
2-7 architecture machxo2 family data sheet eight secondary high fanout nets are generated from eight 8:1 muxes as shown in figure 2-6. one of the eight inputs to the secondary high fanout net input mux comes from dual function clock pins and the remaining seven come from internal routing. the maximum frequency for the secondary clock network is shown in machxo2 exter- nal switching characteristics table. figure 2-6. secondary high fanout nets for machxo2 devices sysclock phase loc ked loops (plls) the sysclock plls provide the ability to synthesize clock frequencies. the mach xo2-640u, ma chxo2-1200/u and larger devices have one or more sysclock pll. clki is the reference frequency input to the pll and its source can come from an external i/o pin or from internal routing. clkfb is the feedback signal to the pll which can come from internal routing or an external i/o pin. the feedback divider is used to multiply the reference fre- quency and thus synthesize a higher frequency clock output. the machxo2 sysclock plls support high resolution (16-bit) frac tional-n synthesis. fr actional-n frequency syn- thesis allows the user to generate an output clock which is a non-integer multiple of the input frequency. for more information about using the pll with fractional-n synthesis, please see tn1199, machxo2 sysclock pll design and usage guide . each output has its own output divider, thus allowing the pll to generate different frequencies for each output. the output dividers can have a value from 1 to 128. the clkos2 and clkos3 dividers may also be cascaded together to generate low frequency clocks. the clkop, clkos, clkos2, and clkos3 outputs can all be used to drive the machxo2 clock distribution network directly or general purpose routing resources can be used. 17 8:1 8:1 8:1 8:1 8:1 8:1 8:1 8:1 clock pads routing secondary high fanout net 0 secondary high fanout net 1 secondary high fanout net 2 secondary high fanout net 3 secondary high fanout net 4 secondary high fanout net 5 secondary high fanout net 6 secondary high fanout net 7
2-8 architecture machxo2 family data sheet the lock signal is asserted when the pll determines it has achieved lock and de-asserted if a loss of lock is detected. a block diagram of the pll is shown in figure 2-7. the setup and hold times of the device can be improved by programming a phase shift into the clkos, clkos2, and clkos3 output clocks which will ad vance or delay the output clock with reference to the clkop output clock. this phase shift can be either programmed during configuration or can be adjusted dynamically. in dynamic mode, the pll may lose lock after a phase adjustment on the output used as the feedback source and not relock until the t lock parameter has been satisfied. the machxo2 also has a feature that allows the user to select between two different reference clock sources dynamically. this feature is implemented using the pllrefcs primitive. the timing parameters for the pll are shown in the table. the machxo2 pll contains a wishbone port feature that a llows the pll settings, including divider values, to be dynamically changed from the user logic. when using this feature the efb block must also be instantiated in the design to allow access to the wishbone ports. similar to the dynamic phase adjustment, when pll settings are updated through the wishbone port the pll may lose lock and not relock until the t lock parameter has been sat- isfied. the timing parameters for the pll are shown in the table. for more details on the pll and the wishbone interface, see tn1199, machxo2 sysclock pll design and usage guide . figure 2-7. pll diagram clkop, clkos, clkos2, clkos3 refclk internal feedback fbksel clkop clkos 4 clkos2 clkos3 refclk divider m (1 - 40) lock enclkop, enclkos, enclkos2, enclkos3 rst, resetm, resetc, resetd clkfb clki dynamic phase adjust phasesel[1:0] phasedir phasestep fbkclk divider n (1 - 40) fractional-n synthesizer phase detector, vco, and loop filter. clkos3 divider (1 - 128) clkos2 divider (1 - 128) phase adjust phase adjust phase adjust/ edge trim clkos divider (1 - 128) clkop divider (1 - 128) lock detect clken synch clken synch clken synch clken synch plldato[7:0] , pllack pllclk, pllrst, pllstb, pllwe, plldati[7:0], plladdr[4:0] a0 b0 c0 d0 d1 mux a2 mux b2 mux c2 mux d2 mux dphsrc phase adjust/ edge trim stdby
2-9 architecture machxo2 family data sheet table 2-4 provides signal descriptions of the pll block. sysmem embedded block ram memory the machxo2-640/u and larger devices contain sysmem em bedded block rams (ebrs). the ebr consists of a 9-kbit ram, with dedicated input and output registers. this memory can be used for a wide variety of purposes including data buffering, prom for the soft processor and fifo. sysmem memory block the sysmem block can implement single port, dual port, pseudo dual port, or fifo memories. each block can be used in a variety of depths and widths as shown in table 2-5. table 2-4. pll signal descriptions port name i/o description clki i input clock to pll clkfb i feedback clock phasesel[1:0] i select which out put is affected by dynamic phase adjustment ports phasedir i dynamic phase adjustment direction phasestep i dynamic phase step ? toggle sh ifts vco phase adjust by one step. clkop o primary pll output clock (with phase shift adjustment) clkos o secondary pll output clock (with phase shift adjust) clkos2 o secondary pll output clock2 (with phase shift adjust) clkos3 o secondary pll output clock3 (with phase shift adjust) lock o pll lock, asynchronous signal. active high indicates pll is locked to input and feed- back signals. dphsrc o dynamic phase source ? ports or wishbone is active stdby i standby signal to power down the pll rst i pll reset without resetting the m-divider. active high reset. resetm i pll reset - includes resetti ng the m-divider. active high reset. resetc i reset for clkos2 output di vider only. active high reset. resetd i reset for clkos3 output di vider only. active high reset. enclkop i enable pll output clkop enclkos i enable pll output clkos when port is active enclkos2 i enable pll output clkos2 when port is active enclkos3 i enable pll output clkos3 when port is active pllclk i pll data bus clock input signal pllrst i pll data bus reset. this resets only the data bus not any register values. pllstb i pll data bus strobe signal pllwe i pll data bus write enable signal plladdr [4:0] i pll data bus address plldati [7:0] i pll data bus data input plldato [7:0] o pll data bus data output pllack o pll data bus acknowledge signal
2-10 architecture machxo2 family data sheet table 2-5. sysmem block configurations bus size matching all of the multi-port memory modes support different widths on each of the ports. the ram bits are mapped lsb word 0 to msb word 0, lsb word 1 to msb word 1, and so on. although the word size and number of words for each port varies, this mapping scheme applies to each port. ram initialization and rom operation if desired, the contents of the ram can be pre-loaded during device configuration. ebr initialization data can be loaded from the ufm. to maximize the number of ufm bits, initialize the ebrs used in your design to an all-zero pattern. initializing to an all-zero pattern does not use up ufm bits. machxo2 devices have been designed such that multiple ebrs share the same initialization memory space if they are initialized to the same pattern. by preloading the ram block during the chip configuration cycle and disabling the write controls, the sysmem block can also be utilized as a rom. memory cascading larger and deeper blocks of ram can be created using ebr sysmem blocks. typically, the lattice design tools cascade memory transparently, bas ed on specific design inputs. single, dual, pseudo-dual port and fifo modes figure 2-8 shows the five basic memory configurations and their input/output nam es. in all the sysmem ram modes, the input data and addresses for the ports are registered at the input of the memory array. the output data of the memory is optionally registered at the memory array output. memory mode configurations single port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 true dual port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 pseudo dual port 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 512 x 18 fifo 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x 9 512 x 18
2-11 architecture machxo2 family data sheet figure 2-8. sysmem memory primitives table 2-6. ebr signal descriptions port name description active state clk clock rising clock edge ce clock enable active high oce 1 output clock enable active high rst reset active high be 1 byte enable active high we write enable active high ad address bus ? di data in ? do data out ? cs chip select active high aff fifo ram almost full flag ? ff fifo ram full flag ? aef fifo ram almost empty flag ? ef fifo ram empty flag ? rprst fifo ram read pointer reset ? 1. optional signals. 2. for dual port ebr primitives a trailing ?a? or ?b? in the signal name specifies the ebr port a or port b respectively. 3. for fifo ram mode primitive, a trailing ?r? or ?w? in the si gnal name specifies the fifo read port or write port respec- tively. 4. for fifo ram mode primitive fulli has the same function as csw(2) and emptyi has the same function as csr(2). 5. in fifo mode, clkw is the write port clock, csw is the wr ite port chip select, clkr is the read port clock, csr is the read port chip select, ore is the output read enable. di[17:0] clkw we fifo ram do[17:0] rst fulli aff ff aef ef clkr re csr[1:0] ore rprst csw[1:0] emptyi rom do[17:0] ad[12:0] clk ce rst cs[2:0] oce ebr ebr ad[12:0] di[8:0] do[8:0] clk ce rst we cs[2:0] oce single-port ram ada[12:0] dia[8:0] clka cea rsta wea csa[2:0] doa[8:0] ocea adb[12:0] di[8:0] clkb ceb rstb web csb[2:0] dob[8:0] oceb true dual port ram adw[8:0] di[17:0] clkw cew rst csw[2:0] adr[12:0] clkr cer do[17:0] csr[2:0] ocer be[1:0] pseudo dual port ram ebr ebr ebr
2-12 architecture machxo2 family data sheet the ebr memory supports three forms of write behavior for single or dual port operation: 1. normal ? data on the output appears only during the read cycle. during a write cycle, the data (at the current address) does not appear on the output. this mode is supported for all data widths. 2. write through ? a copy of the input data appears at the output of the same port. this mode is supported for all data widths. 3. read-before-write ? when new data is being written, the old contents of the address appears at the output. fifo configuration the fifo has a write port with data-in, cew, we and clkw signals. there is a separate read port with data-out, rce, re and clkr signals. the fifo in ternally generates almost full, full, almost empty and empty flags. the full and almost full flags are registered with clkw. the empty and almost empty flags are registered with clkr. table 2-7 shows the range of programming values for these flags. table 2-7. programmable fifo flag ranges the fifo state machine supports two types of reset signals: rst and rprst. the rst signal is a global reset that clears the contents of the fifo by resetting the read/write pointer and puts the fifo flags in their initial reset state. the rprst signal is used to reset the read pointer. th e purpose of this reset is to retransmit the data that is in the fifo. in these applications it is important to keep careful track of when a packet is written into or read from the fifo. memory core reset the memory core contains data output latches for ports a and b. these are simple latches that can be reset syn- chronously or asynchronously. rsta and rstb are local signals, which reset the output latches associated with port a and port b respectively. the global reset (gsrn) signal resets both ports. the output data latches and associated resets for both ports are as shown in figure 2-9. figure 2-9. memory core reset flag name programming range full (ff) 1 to max (up to 2 n -1) almost full (af) 1 to full-1 almost empty (ae) 1 to full-1 empty (ef) 0 n = address bit width. q set d output data latches memory core port a[18:0] q set d port b[18:0] rstb gsrn programmable disable rsta
2-13 architecture machxo2 family data sheet for further information on the sysmem ebr block, please refer to tn1201, memory usage guide for machxo2 devices . ebr asynchronous reset ebr asynchronous reset or gsr (if used) can only be app lied if all clock enables are low for a clock cycle before the reset is applied and released a clock cycle after the reset is released, as shown in figure 2-10. the gsr input to the ebr is always asynchronous. figure 2-10. ebr asynchronous reset (including gsr) timing diagram if all clock enables remain enabled, the ebr asynchronous reset or gsr may only be applied and released after the ebr read and write clock inputs are in a steady state condition for a minimum of 1/f max (ebr clock). the reset release must adhere to the ebr synchronous reset setup time before the next active read or write clock edge. if an ebr is pre-loaded during configuration, the gsr input must be disabled or the release of the gsr during device wake up must occur before the release of the device i/os becoming active. these instructions apply to all ebr ram, rom and fifo implementations. for the ebr fifo mode, the gsr sig- nal is always enabled and the we and re signals act like the clock enable signals in figure 2-10. the reset timing rules apply to the rpreset input versus the re input an d the rst input versus the we and re inputs. both rst and rpreset are always asynchronous ebr inputs. for more details refer to tn1201, memory usage guide for machxo2 devices . note that there are no reset restrictions if the ebr syn chronous reset is used and the ebr gsr input is disabled. programmable i/o cells (pic) the programmable logic associated with an i/o is called a pio. the individual pio are connected to their respec- tive sysio buffers and pads. on the machxo2 devices, the pio cells are assembled into groups of four pio cells called a programmable i/o cell or pic. the pics are placed on all four sides of the device. on all the machxo2 devices, two adjacent pios can be combined to provide a complementary output driver pair. the machxo2-640u, machxo2-1 200/u and higher density devices contain enhanced i/o capability. all pio pairs on these larger devices can implement differential receivers. half of the pio pairs on the top edge of these devices can be configured as true lvds transmit pairs. the pio pairs on the bottom edge of these higher density devices have on-chip differential termination and also provide pci support. reset clock clock enable
2-14 architecture machxo2 family data sheet figure 2-11. group of four programmable i/o cells 1 pic pio a output register block & tristate register block pin a input register block pio b output register block & tristate register block pin b input register block pio c output register block & tristate register block pin c input register block notes: 1. input gearbox is available only in pic on the bottom edge of machxo2-640u, machxo2-1200/u and larger devices. 2. output gearbox is available only in pic on the top edge of machxo2-640u, machxo2-1200/u and larger devices. pio d output register block & tristate register block pin d input register block core logic/ routing input gearbox output gearbox
2-15 architecture machxo2 family data sheet pio the pio contains three blocks: an input register block, output register block and tri-state register block. these blocks contain registers for operating in a variety of modes along with the necessary clock and selection logic. table 2-8. pio signal list input register block the input register blocks for the pios on all edges contain delay elements and registers that can be used to condi- tion high-speed interface signals before they are passed to the device core. in addition to this functionality, the input register blocks for the pios on the right edge include built-in logic to interface to ddr memory. figure 2-12 shows the input register block for the pios located on the left, top and bottom edges. figure 2-13 shows the input register block for the pios on the right edge. left, top, bottom edges input signals are fed from the sysio buffer to the input register block (as signal d). if desired, the input signal can bypass the register and delay elements and be used directly as a combinatorial signal (indd), and a clock (inck). if an input delay is desired, users can select a fixed del ay. i/os on the bottom edge also have a dynamic delay, del[4:0]. the delay, if selected, reduces input register hold time requirements when using a global clock. the input block allows two modes of operation. in single data rate (sdr) the data is registered with the system clock (sclk) by one of the registers in the single data rate sync register block. in generic ddr mode, two registers are used to sample the data on the positive and negative edges of the system clock (sclk) signal, creating two data streams. pin name i/o type description ce input clock enable d input pin input from sysio buffer. indd output register bypassed input. inck output clock input q0 output ddr positive edge input q1 output registered input/ddr negative edge input d0 input output signal from the core (sdr and ddr) d1 input output signal from the core (ddr) td input tri-state signal from the core q output data output signals to sysio buffer tq output tri-state output signals to sysio buffer dqsr90 1 input dqs shift 90-degree read clock dqsw90 1 input dqs shift 90-degree write clock ddrclkpol 1 input ddr input register polar ity control signal from dqs sclk input system clock for input and output/tri-state blocks. rst input local set reset signal 1. available in pio on right edge only.
2-16 architecture machxo2 family data sheet figure 2-12. machxo2 input register block di agram (pio on left, top and bottom edges) right edge the input register block on the right edge is a superset of the same block on the top, bottom, and left edges. in addition to the modes described above, the input register block on the right edge also supports ddr memory mode. in ddr memory mode, two registers are used to sample the data on the positive and negative edges of the modi- fied dqs (dqsr90) in the ddr memory mode creating two data streams. before entering the core, these two data streams are synchronized to the system clock to generate two data streams. the signal ddrclkpol controls the pola rity of the clock used in the synchr onization registers. it ensures ade- quate timing when data is transfer red to the system clock domain from the dqs do main. the dqsr90 and ddrclkpol signals are generated in the dqs read-write block. figure 2-13. machxo2 input register block diagram (pio on right edge) output register block the output register block registers signals from the core of the device before they are passed to the sysio buffers. left, top, bottom edges in sdr mode, d0 feeds one of the flip-flops that then feeds the output. the flip-flop can be configured as a d-type register or latch. sclk inck q1 q0 indd d q0 q1 d q programmable delay cell d/l q d q d q q1 q0 indd d dqsr90 q0 q1 sclk s0 s1 ddrclkpol programmable delay cell d/l q inck d q d q d q d q d q d q d q
2-17 architecture machxo2 family data sheet in ddr generic mode, d0 and d1 inputs ar e fed into registers on the positive edge of the clock. at the next falling edge the registered d1 input is registered into the register q1. a multiplexer running off the same clock is used to switch the mux between the outp uts of registers q0 and q1 that will then fe ed the output. figure 2-14 shows the output register block on the left, top and bottom edges. figure 2-14. machxo2 output register block diagram (pio on the left, top and bottom edges) right edge the output register block on the right edge is a superset of the output register on left, top and bottom edges of the device. in addition to supporting sdr and generic ddr modes, the output register blocks for pios on the right edge include additional logic to support ddr-memory interfaces. operation of this block is similar to that of the out- put register block on other edges. in ddr memory mode, d0 and d1 inputs are fed into registers on the positive edge of the clock. at the next falling edge the registered d1 input is registered into the register q1. a multiplexer running off the dqsw90 signal is used to switch the mux between the out puts of registers q0 and q1 that will then feed the output. figure 2-15 shows the output register block on the right edge. output path tq d/l q td tri-state path q d1 d q d q q1 d/l q q0 d0 sclk
2-18 architecture machxo2 family data sheet figure 2-15. machxo2 output register block diagram (pio on the right edges) tri-state register block the tri-state register block registers tri-state control signals from the core of the device before they are passed to the sysio buffers. the block contains a register for sdr operation. in sdr, td input feeds one of the flip-flops that then feeds the output. the tri-state register blocks on the right edge contain an additional register for ddr memory operation. in ddr memory mode, the register ts input is fed into another register that is clocked using the dqsw90 signal. the out- put of this register is used as a tri-state control. input gearbox each pic on the bottom edge has a built-in 1:8 input gearbox. each of these input gearboxes may be programmed as a 1:7 de-serializer or as one iddrx4 (1:8) gearbox or as two iddrx2 (1:4) gearboxes. table 2-9 shows the gearbox signals. table 2-9. input gearbox signal list name i/o type description d input high-speed data input after programmable delay in pio a input register block alignwd input data alignment signal from device core sclk input slow-speed system clock eclk[1:0] input high-speed edge clock rst input reset q[7:0] output low-speed data to device core: video rx(1:7): q[6:0] gddrx4(1:8): q[7:0] gddrx2(1:4)(iol-a): q4, q5, q6, q7 gddrx2(1:4)(iol-c): q0, q1, q2, q3 d q d1 d q q1 d/l q q0 d0 dqsw90 q sclk d q tq d/l q t0 td output register block tristate register block
2-19 architecture machxo2 family data sheet these gearboxes have three stage pipeline registers. the first stage registers sample the high-speed input data by the high-speed edge clock on its rising and falling edges. the second stage registers perform data alignment based on the control signals update and sel0 from the control block. the third stage pipeline registers pass the data to the device core synchronized to the low-speed system clock. figure 2-16 shows a block diagram of the input gearbox. figure 2-16. input gearbox d q d eclk0/1 sclk q21 q0_ s2 s0 d q d q t2 t0 q0 q2 d q d q ce d q ce d q q65 q43 s6 s4 d q d q t6 t4 d q cdn d q ce d q cdn ce d q q54 q_6 s3 s5 d d t3 t5 q6 d q d q ce d q ce d q q10 q32 s1 d t1 d q d q ce q65 q65 q43 q43 q21 q10 q21 q32 q54 q_6 q54 q32 sel0 q4 q5 q1 q3 s7 d q t7 d q ce q7 update q_6
2-20 architecture machxo2 family data sheet more information on the input gearbox is available in tn1203, implementing high-speed interfaces with machxo2 devices . output gearbox each pic on the top edge has a built-in 8:1 output gearbox. each of these output gearboxes may be programmed as a 7:1 serializer or as one oddrx4 (8:1) gearbox or as two oddrx2 (4:1) gearboxes. table 2-10 shows the gearbox signals. table 2-10. output gearbox signal list the gearboxes have three stage pipeline registers. the first stage registers sample the low-speed input data on the low-speed system clock. the second stage registers transfer data from the low-speed clock registers to the high- speed clock registers. the third stage pipeline registers controlled by high-speed edge clock shift and mux the high-speed data out to the sysio buffer. figure 2-17 shows the output gearbox block diagram. name i/o type description q output high-speed data output d[7:0] input low-speed data from device core video tx(7:1): d[6:0] gddrx4(8:1): d[7:0] gddrx2(4:1)(iol-a): d[3:0] gddrx2(4:1)(iol-c): d[7:4] sclk input slow-speed system clock eclk [1:0] input high-speed edge clock rst input reset
2-21 architecture machxo2 family data sheet figure 2-17. output gearbox more information on the output gearbox is available in tn1203, implementing high-speed interfaces with machxo2 devices . ddr memory support certain pics on the right edge of machxo2-640u, machxo2-1200/u and larger devices, have additional circuitry to allow the implementation of ddr memory interfaces. there are two groups of 14 or 12 pios each on the right edge with additional circuitry to implement ddr memory interfaces. th is capability allows th e implementation of up to 16-bit wide memory interfaces. one pio from each group contains a control element, the dqs read/write d4 d0 d3 d1 s1 t1 s0 qc oddrx2_a oddrx2_c oddrx2_c eclk0/1 q45 q67 s4 s6 d q d q t4 t6 d6 d q d q ce d q ce 0 1 0 1 q01 q23 s0 s2 t0 t2 q32 q10 s5 s3 d q t5 t3 ce 0 1 d q q76 q54 s7 d q t7 d q d q d q ce 0 1 s2 s4 gnd s7 s6 s5 s3 d2 d7 d5 sclk 0 1 0 1 0 1 1 0 1 q34 q56 q67 gnd q45 s1 q12 sel /0 update q23 q/qa d q d q d q d q d q d q d q d q d q d q d q 0 1 0 1 0 1 0 1 0 1 0 ce ce d q ce d q ce 0 1 0 1 cdn
2-22 architecture machxo2 family data sheet block, to facilitate the generation of clock and cont rol signals (dqsr90, dqsw90, ddrclkpol and datavalid). these clock and control signals are distributed to the other pio in the group through dedicated low skew routing. dqs read write block source synchronous interfaces generally require the input clock to be adjusted in order to correctly capture data at the input register. for most interfaces a pll is used for this adjustment. however, in ddr memories the clock (referred to as dqs) is not free-running so this approac h cannot be used. the dqs read write block provides the required clock alignment for ddr memory interfaces. dqsr90 and dqsw90 signals are generated by the dqs read write block from the dqs input. in a typical ddr memory interface design, the phase relationship between the incoming delayed dqs strobe and the internal system clock (during the read cycle) is unkn own. the machxo2 family contains dedicated circuits to transfer data between these domains. to prevent set-up and hold violations, at the domain transfer between dqs (delayed) and the system clock, a clock polarity selector is used. this circuit changes the edge on which the data is registered in the synchronizing registers in the input regist er block. this requires evaluation at the start of each read cycle for the correct clock polarity. prior to the read operation in ddr memories, dqs is in tri-state (pulled by termination). the ddr memory device drives dqs low at the start of the preamble state. a dedicated circuit in the dqs read write block detects the first dqs rising edge after the preamble state and generates the ddrclkpol signal. this signal is used to control the polar ity of the clock to the synchronizing registers. the temperature, voltage and process variations of the dqs delay block are compensated by a set of calibration signals (6-bit bus) from a dll on the right edge of the device. the dll loop is compensated for temperature, volt- age and process variations by the system clock and feedback loop. sysio buffer each i/o is associated with a flexible buffer referred to as a sysio buffer. these buffers are arranged around the periphery of the device in groups referred to as banks. the sysio buffers allow users to implement a wide variety of standards that are found in today?s systems including lvcmos, ttl, pci, sstl, hstl, lvds, blvds, mlvds and lvpecl. each bank is capable of supporting multiple i/o standards. in the machxo2 devices, single-ended output buffers, ratioed input buffers (lvttl, lvcmos and pci), differential (lvds) and referenced input buffers (sstl and hstl) are powered using i/o supply voltage (v ccio ). each sysio bank has its own v ccio . in addition, each bank has a voltage reference, v ref , which allows the use of referenced input buffers independent of the bank v ccio . machxo2-256 and machxo2-640 devices contain single-ended ratioed input buffers and single-ended output buf- fers with complementary outputs on all the i/o banks. note that the single-ended input buffers on these devices do not contain pci clamps. in addition to the single-ended i/o buffers these two devices also have differential and ref- erenced input buffers on all i/os. the i/os are arranged in pairs, the two pads in the pair are described as ?t? and ?c?, where the true pad is associated with the positive si de of the differential input buffer and the comp (comple- mentary) pad is associated with the negative side of the differential input buffer. machxo2-640u, machxo2-1200/u, machxo2-2000/u, machxo2-4000 and machxo2-7000 devices contain three types of sysio buffer pairs. 1. left and right sysio buffer pairs ? the sysio buffer pairs in the left and right banks of the device consist of two single-ended output drivers and two single-ended input buffers (for ratioed inputs such as lvcmos and lvttl). the i/o pairs on the left and right of the devices also have differential and referenced input buffers. 2. bottom sysio buffer pairs ? the sysio buffer pairs in the bottom bank of the device consist of two single-ended output drivers and two sin- gle-ended input buffers (for ratioed inputs such as lvcmos and lvttl). the i/o pairs on the bottom also have differential and referenced input buffers. only the i/os on the bottom banks have programmable pci clamps
2-23 architecture machxo2 family data sheet and differential input termination. the pci clamp is enabled after v cc and v ccio are at valid operating levels and the device has been configured. 3. top sysio buffer pairs ? the sysio buffer pairs in the top bank of the device co nsist of two single-ended output drivers and two single- ended input buffers (for ratioed inputs such as lvcmos and lvttl). the i/o pairs on the top also have differ- ential and referenced i/o buffers. half of the sysio buffer pairs on the top edge have true differential outputs. the sysio buffer pair comprising of the a and b pios in every pic on the top edge have a differential output driver. the referenced input buffer can also be configured as a differential input buffer. typical i/o behavior during power-up the internal power-on-reset (por) signal is deactivated when v cc and v ccio0 have reached v porup level defined in the power-on-reset voltage table in the dc and switching characteristics section of this data sheet. after the por signal is deactivate d, the fpga core logic becomes active. it is the user?s responsibility to ensure that all v ccio banks are active with valid input logic levels to properly control the output logic states of all the i/o banks that are critical to the application. the default configuration of the i/o pins in a blank device is tri-state with a weak pull- down to gnd (some pins such as programn and the jtag pins have weak pull-up to v ccio as the default func- tionality). the i/o pins will mainta in the blank configuration until v cc and v ccio (for i/o banks containing configura- tion i/os) have reached v porup levels at which time the i/os will take on the user-configured settings only after a proper download/configuration. there are various ways a user can ensure that there are no spurious signals on critical outputs as the device pow- ers up. these are discussed in more detail in tn1202, machxo2 sysio usage guide . supported standards the machxo2 sysio buffer supports both single-ended and differential standards. single-ended standards can be further subdivided into lvcmos, lvttl, and pci. the buffer supports the lvttl, pci, lvcmos 1.2, 1.5, 1.8, 2.5, and 3.3v standards. in the lvcmos and lvttl modes, the buffer has individually configurable options for drive strength, bus maintenance (weak pull-up, weak pull-down, bus-keeper latch or none) and open drain. blvds, mlvds and lvpecl output em ulation is supported on all devices. the machxo2-640u, machxo2-12 00/u and higher devices support on-chip lvds output buffers on approximately 50% of the i/os on the top bank. differential receivers for lvds, blvds, mlvds and lvpecl are suppor ted on all banks of machxo2 devices. pci support is provided in the bottom bank of themachxo2-640u, machxo2-1200/u and higher density devices. table 2-11 sum- marizes the i/o characteristics of the machxo2 plds. tables 2-11 and 2-12 show the i/o standards (together with their supply and reference voltages) supported by the machxo2 devices. for further information on utilizing the sysi o buffer to support a variet y of standards please see tn1202, machxo2 sysio usage guide . table 2-11. i/o support device by device machxo2-256, machxo2-640 machxo2-640u, machxo2-1200 machxo2-1200u machxo2-2000/u, machxo2-4000, machxo2-7000 number of i/o banks 4 4 6 type of input buffers single-ended (all i/o banks) differential receivers (all i/o banks) single-ended (all i/o banks) differential receivers (all i/o banks) differential input termination (bottom side) single-ended (all i/o banks) differential receivers (all i/o banks) differential input termination (bottom side)
2-24 architecture machxo2 family data sheet table 2-12. supported input standards types of output buffers single-ended buffers with complementary outputs (all i/o banks) single-ended buffers with complementary outputs (all i/o banks) differential buffers with true lvds outputs (50% on top side) single-ended buffers with complementary outputs (all i/o banks) differential buffers with true lvds outputs (50% on top side) differential output emulation capability all i/o banks all i/o banks all i/o banks pci clamp support no clamp on bottom side only clamp on bottom side only vccio (typ.) input standard 3.3v 2.5v 1.8v 1.5 1.2v single-ended interfaces lv t t l ?? 2 ? 2 ? 2 lv c m o s 3 3 ?? 2 ? 2 ? 2 lv c m o s 2 5 ? 2 ?? 2 ? 2 lv c m o s 1 8 ? 2 ? 2 ?? 2 lv c m o s 1 5 ? 2 ? 2 ? 2 ?? 2 lv c m o s 1 2 ? 2 ? 2 ? 2 ? 2 ? pci 1 ? sstl18 (class i, class ii) ? sstl25 (class i, class ii) ? hstl18 (class i, class ii) ? differential interfaces lv d s ?? blvds, mvds, lvpecl, rsds ?? differential sstl18 class i, ii ? differential sstl25 class i, ii ? differential hstl18 class i, ii ? 1. bottom banks of machxo2-640u, mach xo2-1200/u and higher density devices only. 2. reduced functionality. refer to tn1202, machxo2 sysio usage guide for more detail. machxo2-256, machxo2-640 machxo2-640u, machxo2-1200 machxo2-1200u machxo2-2000/u, machxo2-4000, machxo2-7000
2-25 architecture machxo2 family data sheet table 2-13. supported output standards sysio buffer banks the numbers of banks vary between the devices of this family. machxo2-1200u, machxo2-2000/u and higher density devices have six i/o banks (one bank on the top, right and bottom side and three banks on the left side). the machxo2-1200 and lower density devices have four banks (one bank per side). figures 2-18 and 2-19 show the sysio banks and their associ ated supplies for all devices. output standard v ccio (typ.) single-ended interfaces lv t t l 3 . 3 lvcmos33 3.3 lvcmos25 2.5 lvcmos18 1.8 lvcmos15 1.5 lvcmos12 1.2 lvcmos33, open drain ? lvcmos25, open drain ? lvcmos18, open drain ? lvcmos15, open drain ? lvcmos12, open drain ? pci33 3.3 sstl25 (class i) 2.5 sstl18 (class i) 1.8 hstl18(class i) 1.8 differential interfaces lv d s 1, 2 2.5, 3.3 blvds, mlvds, rsds 2 2.5 lv p e c l 2 3.3 differential sstl18 1.8 differential sstl25 2.5 differential hstl18 1.8 1. machxo2-640u, machxo2-1200/u and larger devices have dedicated lvds buffers. 2. these interfaces can be emulated with external resistors in all devices.
2-26 architecture machxo2 family data sheet figure 2-18. machxo2-1200u, machxo2-2000/u, machxo2-4000 and machxo2-7000 banks figure 2-19. machxo2-256, machxo2-640/u and machxo2-1200 banks bank 0 bank 1 bank 2 bank 3 bank 4 bank 5 vccio0 vccio2 gnd gnd vccio1 gnd gnd gnd gnd vccio5 vccio4 vccio3 bank 0 bank 1 bank 2 bank 3 vccio0 vccio2 gnd gnd vccio1 gnd vccio3 gnd
2-27 architecture machxo2 family data sheet hot socketing the machxo2 devices have been carefully designed to ensure predictable behavior during power-up and power- down. leakage into i/o pins is controlle d to within specified limits. this allows for easy integration with the rest of the system. these cap abilities make the machxo2 ideal for many mu ltiple power supply and hot-swap applica- tions. on-chip oscillator every machxo2 device has an internal cm os oscillator. the oscilla tor output can be routed as a clock to the clock tree or as a reference clock to the sysclock pll using general routing resources. t he oscillator freq uency can be divided by internal logic. th ere is a dedicated programming bit and a user input to enable/disable the oscillator. the oscillator frequency ranges fr om 2.08 mhz to 133 mhz. the software def ault value of the master clock (mclk) is nominally 2.08 mhz. when a different mclk is selected during the design process, the following sequence takes place: 1. device powers up with a nominal mclk frequency of 2.08 mhz. 2. during configuration, users select a different master clock frequency. 3. the mclk frequency changes to the selected frequency once the clock configuration bits are received. 4. if the user does not select a master clock frequency, th en the configuration bitstream defaults to the mclk fre- quency of 2.08 mhz. table 2-14 lists all the available mclk frequencies. table 2-14. available mclk frequencies embedded hardened ip func tions and user flash memory all machxo2 devices provide embedded hardened functions such as spi, i 2 c and timer/counter. machxo2-640/u and higher density devices also provid e user flash memory (ufm). these embedded blocks interface through the wishbone interface with routing as shown in figure 2-20. mclk (mhz, nominal) mclk (mhz, nominal) mclk (mhz, nominal) 2.08 (default) 9.17 33.25 2.46 10.23 38 3.17 13.3 44.33 4.29 14.78 53.2 5.54 20.46 66.5 7 26.6 88.67 8.31 29.56 133
2-28 architecture machxo2 family data sheet figure 2-20. embedded function block interface hardened i 2 c ip core every machxo2 device contains two i 2 c ip cores. these are the primary and secondary i 2 c ip cores. either of the two cores can be configured either as an i 2 c master or as an i 2 c slave. the only difference between the two ip cores is that the primary core has pre-assigned i/o pins whereas users can assign i/o pins for the secondary core. when the ip core is configured as a master it will be able to control other devices on the i 2 c bus through the inter- face. when the core is configured as the slave, the device will be able to prov ide i/o expansion to an i 2 c master. the i 2 c cores support the following functionality: ? master and slave operation ? 7-bit and 10-bit addressing ? multi-master arbitration support ? clock stretching ? up to 400 khz data transfer speed ? general call support ? interface to custom logic through 8-bit wishbone interface embedded function block (efb) core logic/ routing efb wishbone interface i 2 c (primary) i 2 c (secondary) spi timer/counter pll0 pll1 configuration logic ufm i/os for i 2 c (primary) i/os for spi i/os for i 2 c (secondary) indicates connection through core logic/routing. power control
2-29 architecture machxo2 family data sheet figure 2-21. i 2 c core block diagram table 2-15 describes the signals interfacing with the i 2 c cores. table 2-15. i 2 c core signal description hardened spi ip core every machxo2 device has a hard spi ip core that can be configured as a spi master or slave. when the ip core is configured as a master it will be able to control other spi enab led chips connected to the spi bus. when the core is configured as the slave, the device will be able to in terface to an external spi master. the spi ip core on machxo2 devices supports the following functions: ? configurable master and slave modes ? full-duplex data transfer ? mode fault error flag with cpu interrupt capability ? double-buffered data register ? serial clock with programmable polarity and phase ? lsb first or msb first data transfer ? interface to custom logic through 8-bit wishbone interface signal name i/o description i2c_scl bi-directional bi-directional clock line of the i 2 c core. the signal is an output if the i 2 c core is in master mode. the signal is an input if the i 2 c core is in slave mode. must be routed directly to the pre-assigned i/o of the chip. refer to the pinout information section of this document for detailed pad and pin locations of i 2 c ports in each machxo2 device. i2c_sda bi-directional bi-directional data line of the i 2 c core. the signal is an output when data is transmitted from the i 2 c core. the signal is an input when data is received into the i 2 c core. must be routed directly to the pre-assigned i/o of the chip. re fer to the pinout information section of this document for detailed pad and pin locations of i 2 c ports in each machxo2 device. i2c_irqo output interrupt request output signal of the i 2 c core. the intended usage of this signal is for it to be connected to the wishbone master controller (i .e. a microcontroller or state machine) and request an interrupt when a specific condition is met. these conditions are described with the i 2 c register definitions. cfg_wake output wake-up signal ? to be connected only to the power module of the machxo2 device. the signal is enabled only if the ?wakeup enable? feature has been set within the efb gui, i 2 c ta b. cfg_stdby output stand-by signal ? to be connected only to the power module of the machxo2 device. the signal is enabled only if the ?wakeup enable? feature has been set within the efb gui, i 2 c ta b. efb scl sda configuration logic core logic/ routing power control i 2 c registers efb wishbone interface control logic i 2 c function
2-30 architecture machxo2 family data sheet there are some limitations on the use of the hardened user spi. these are defined in the following technical notes: ? tn1087, minimizing system interruption during configuration using transfr technology (appendix b) ? tn1205, using user flash memory and hardened control functions in machxo2 devices figure 2-22. spi core block diagram table 2-16 describes the signals interfacing with the spi cores. table 2-16. spi core signal description hardened timer/counter machxo2 devices provide a hard timer/counter ip core. this timer/counter is a general purpose, bi-directional, 16-bit timer/counter module with independent output compare units and pwm support. the timer/counter sup- ports the following functions: signal name i/o master/slave description spi_csn[0] o master spi master chip-select output spi_csn[1..7] o master additional spi chip-sel ect outputs (total up to eight slaves) spi_scsn i slave spi slav e chip-select input spi_irq o master/slave interrupt request spi_clk i/o master/slave spi clock. output in master mode. input in slave mode. spi_miso i/o master/slave spi data. input in master mode. output in slave mode. spi_mosi i/o master/slave spi data. output in master mo de. input in slave mode. ufm_sn i slave configuration slave chip select (active low), dedicated for selecting the user flash memory (ufm). cfg_stdby o master/slave stand-by signal ? to be connected only to the power module of the machxo2 device. the signal is enabled only if the ?wakeup enable? feature has been set within the efb gui, spi tab. cfg_wake o master/slave wake-up signal ? to be connected only to the power module of the machxo2 device. the signal is enabled only if the ?wakeup enable? feature has been set within the efb gui, spi tab. efb spi function core logic/ routing efb wishbone interface spi registers control logic configuration logic miso mosi sck mcsn scsn
2-31 architecture machxo2 family data sheet ? supports the following modes of operation: ? watchdog timer ? clear timer on compare match ?fast pwm ? phase and frequency correct pwm ? programmable clock input source ? programmable input clock prescaler ? one static interrupt output to routing ? one wake-up interrupt to on-chip standby mode controller. ? three independent interrupt sources: overflow, output compare match, and input capture ? auto reload ? time-stamping support on the input capture unit ? waveform generation on the output ? glitch-free pwm waveform generation with variable pwm period ? internal wishbone bus access to the control and status registers ? stand-alone mode with preloaded control registers and direct reset input figure 2-23. timer/c ounter block diagram table 2-17. timer/count er signal description for more details on these embedded functions, please refer to tn1205, using user flash memory and hardened control functions in machxo2 devices . port i/o description tc_clki i timer/counter input clock signal tc_rstn i register tc_rstn_ena is preloaded by configuration to always keep this pin enabled tc_ic i input capture trigger event, applicable for non-pwm modes with wishbone interface. if enabled, a rising edge of this signal will be dete cted and synchronized to capture tc_cnt value into tc_icr for time-stamping. tc_int o without wishbone ? can be used as overflow flag with wishbone ? controlled by three irq registers tc_oc o timer counter output signal efb timer/counter core logic routing pwm efb wishbone interface timer/ counter registers control logic
2-32 architecture machxo2 family data sheet user flash memory (ufm) machxo2-640/u and higher density devices provide a user flash memory block, which can be used for a variety of applications including storing a portion of the configuration image, initializing ebrs, to store prom data or, as a general purpose user flash memory. the ufm block connects to the device core through the embedded function block wishbone interface. users can also access the ufm block through the jtag, i 2 c and spi interfaces of the device. the ufm block offers the following features: ? non-volatile storage up to 256kbits ? 100k write cycles ? write access is performed page-wise; each page has 128 bits (16 bytes) ? auto-increment addressing ? wishbone interface for more information on the ufm, please refer to tn1205, using user flash memory an d hardened control func- tions in machxo2 devices . standby mode and power saving options machxo2 devices are availabl e in three options for maximum flexibility: ze, hc and he devices. the ze devices have ultra low static and dynamic power consumption. these devices use a 1.2v core voltage that further reduces power consumption. the hc and he devices are designed to provide high performance. the hc devices have a built-in voltage regulator to allow for 2.5v v cc and 3.3v v cc while the he devices operate at 1.2v v cc . machxo2 devices have been designed with features that allow users to meet the static and dynamic power requirements of their applications by controlling various device subsystems such as the bandgap, powe r-on-reset circuitry, i/o bank controllers, power guard, on-chip oscillator, plls, etc. in order to maximize power savings, machxo2 devices support an ultra low power stand-by mode. while most of these features are available in all three device types, these features are mainly intend ed for use with machxo2 ze devices to manage power con- sumption. in the stand-by mode the machxo2 devices are powered on and configured. internal logic, i/os and memories are switched on and remain operational, as the user logic waits for an external input. the device enters this mode when the standby input of the standby controller is toggled or when an appropriate i 2 c or jtag instruction is issued by an external master. various subsystems in the device such as the band gap, power-on-reset circuitry etc can be configured such that they are automatically turned ?off? or go into a low power consumption state to save power when the device enters this state.
2-33 architecture machxo2 family data sheet table 2-18. machxo2 power saving features description for more details on the standby mode refer to tn1198, power estimation and management for machxo2 devices . power on reset machxo2 devices have power-on reset circuitry to monitor v ccint and v ccio voltage levels during power-up and operation. at power-up, the por circuitry monitors v ccint and v ccio0 (controls configuration) voltage levels. it then triggers download from the on-chip conf iguration flash memory after reaching the v porup level specified in the power-on-reset voltage table in the dc and switching characteristics section of this data sheet. for devices without voltage regulators (ze and he devices), v ccint is the same as the v cc supply voltage. for devices with voltage regulators (hc devices), v ccint is regulated from the v cc supply voltage. from this voltage reference, the time taken for configuration and entry into user mode is specified as flash download time (t refresh ) in the dc and switching characteristics section of this data sheet. before and during configuration, the i/os are held in tri- state. i/os are released to user functionality once the devi ce has finished configuration. note that for hc devices, a separate por circuit monitors external v cc voltage in addition to the por circuit that monitors the internal post- regulated power supply voltage level. once the device enters into user mode, the por circuitry can optionally continue to monitor v ccint levels. if v ccint drops below v pordnbg level (with the bandgap circuitry switched on) or below v pordnsram level (with the bandgap circuitry switched off to conserve power) device functionality cannot be guaranteed. in such a situation the por issues a reset and begins monitoring the v ccint and v ccio voltage levels. v pordnbg and v pordnsram are both specified in the power-on-reset voltage table in the dc and switching characteristics section of this data sheet. note that once a ze or he device enters user mode, users can switch off the bandgap to conserve power. when the bandgap circuitry is switched off, the por circuitry also shuts down. the device is designed such that a mini- mal, low power por circuit is still ope rational (this corresponds to the v pordnsram reset point described in the paragraph above). however this circuit is not as accurate as the one that operates when the bandgap is switched on. the low power por circuit emulates an sram cell and is biased to trip before the vast majority of sram cells flip. if users are concerned about the v cc supply dropping below v cc (min) they should not shut down the bandgap or por circuit. device subsystem feature description bandgap the bandgap can be turned off in standby mode. when the bandgap is turned off, ana- log circuitry such as the por, plls, on-chi p oscillator, and referenced and differential ? i/o buffers are also turned off. bandgap can only be turned off for 1.2v devices. power-on-reset (por) the por can be turned off in standby mode. th is monitors vcc levels. in the event of unsafe v cc drops, this circuit reconfigures the device. when the por circuitry is turned off, limited power detector circuitry is still active. this option is only recommended for ap- plications in which the power supply rails are reliable. on-chip oscillator the on-chip oscillator has two power saving feat ures. it may be switched off if it is not needed in your design. it can also be turned off in standby mode. pll similar to the on-chip oscillator, the pll also has two power saving features. it can be statically switched off if it is not needed in a design. it can also be turned off in standby mode. the pll will wait until all output cloc ks from the pll are driven low before power- ing off. i/o bank controller referenced and differential i/o buffers (use d to implement standards such as hstl, sstl and lvds) consume more than ratioed single-ended i/os such as lvcmos and lvttl. the i/o bank controller allows the user to turn these i/os off dynamically on a per bank selection. dynamic clock enable for primary clock nets each primary clock net can be dynamically disabled to save power. power guard power guard is a feature implemented in input buffers. this feature allows users to switch off the input buffer when it is not n eeded. this feature can be used in both clock and data paths. its biggest impact is that in t he standby mode it can be used to switch off clock inputs that are distributed using general routing resources.
2-34 architecture machxo2 family data sheet configuration and testing this section describes the configuration and testing features of the machxo2 family. ieee 1149.1-compliant b oundary scan testability all machxo2 devices have boundary sc an cells that are accessed through an ieee 1149.1 comp liant test access port (tap). this allows functional testing of the circuit boa rd, on which the device is mounted, through a serial scan path that can access all critical logic nodes. internal registers are linked internally, allowing test data to be shifted in and loaded directly onto test nodes, or test data to be captured and shifted out for verification. the test access port consists of dedicated i/os: tdi, tdo, tck and tms. the test access port shares its power supply with v ccio bank 0 and can operate with lvcmos3.3, 2.5, 1.8, 1.5, and 1.2 standards. for more details on boundary scan test, see an8066, boundary scan testability with lattice sysio capability and tn1087, minimizing system interruption during configuration using transfr technology . device configuration all machxo2 devices contain two ports that can be used for device configuration. the test access port (tap), which supports bit-wide configuration and the sysconfig port which supports serial configuration through i 2 c or spi. the tap supports both the ieee st andard 1149.1 boundary scan specification an d the ieee st andard 1532 in-system configuration specification. there are various ways to configure a machxo2 device: 1. internal flash download 2. jtag 3. standard serial peripheral interface (master spi mode) ? interface to boot prom memory 4. system microprocessor to drive a serial slave spi port (sspi mode) 5. standard i 2 c interface to system microprocessor upon power-up, the configuration sram is ready to be configured using the selected sysconfig port. once a configuration port is selected, it will re main active throughou t that configurati on cycle. the ieee 1149.1 port can be activated any time after power-up by sending the appropriate command through the tap port. optionally the de- vice can run a crc check upon entering the user mode. th is will ensure that the devi ce was configured correctly. the sysconfig port has 10 dual-function pins which can be used as general purpose i/os if they are not required for configuration. see tn1204, machxo2 programming and configuration usage guide for more information about using the dual-use pins as general purpose i/os. lattice design software uses proprietary compression te chnology to compress bit-streams for use in machxo2 devices. use of this technology allows lattice to provide a lower cost solution. in the unlikely event that this technol- ogy is unable to compress bitstreams to fit into the amount of on-chip flash memory, there are a variety of tech- niques that can be utilized to allow the bitstream to fit in the on-chip flash memory. for more details, refer to tn1204, machxo2 programming and configuration usage guide . the test access port (tap) has five dual purpose pins (tdi, tdo, tms and tck). these pins are dual function pins - tdi, tdo, tms and tck can be used as general pur pose i/o if desired. for more details, refer to tn1204, machxo2 programming and configuration usage guide . transfr (transparent field reconfiguration) transfr is a unique lattice technology that allows users to update their logic in the field without interrupting sys- tem operation using a simple push-button solution. for more details refer to tn1087, minimizing system interrup- tion during configuration using transfr technology for details. security and one-time programmable mode (otp)
2-35 architecture machxo2 family data sheet for applications where security is important, the lack of an external bitstream provides a solution that is inherently more secure than sram-based fpgas. this is further enhanced by device locking. machxo2 devices contain security bits that, when set, prevent the readback of the sram configuration and non-volatile flash memory spaces. the device can be in one of two modes: 1. unlocked ? readback of the sram configuration and non-volatile flash memory spaces is allowed. 2. permanently locked ? the device is permanently locked. once set, the only way to clear the security bits is to erase the device. to further complement the security of the device, a one time programmable (otp) mode is available. on ce the device is set in this mode it is not possible to erase or re-program the flash and sram otp portions of the device. for more details, refer to tn1204, machxo2 programming and configuration usage guide . dual boot machxo2 devices can optionally boot from two patterns, a primary bitstream and a golden bitstream. if the primary bitstream is found to be corrupt while being downloaded into the sram, the device shall then automatically re-boot from the golden bitstream. note that the primary bitstream must reside in the on-chip flash. the golden image must reside in an external spi flash. for more details, refer to tn1204, machxo2 programming and configura- tion usage guide . soft error detection the sed feature is a crc check of the sram cells after the device is configured. this check ensures that the sram cells were configured successfully. this feature is enabled by a configuration bit option. the soft error detection can also be initiated in user mode via an input to the fabric. the clock for the soft error detection circuit is generated using a dedicated divider. the undivided clock from th e on-chip oscillator is the input to this divider. for low power applications users can switch off the soft er ror detection circuit. for more details, refer to tn1206, machxo2 soft error de tection usage guide . traceid each machxo2 device contains a unique (per device), traceid that can be used for tracking purposes or for ip security applications. the traceid is 64 bits long. eight out of 64 bits are user-programmable, the remaining 56 bits are factory-programmed. the traceid is accessible through the efb wishbone interface and can also be accessed through the spi, i 2 c, or jtag interfaces. density shifting the machxo2 family has been designed to enable density migration within the same package. furthermore, the architecture ensures a high success rate when performing design migration from lower density devices to higher density devices. in many cases, it is also possible to shift a lower utilization design targeted for a high-density device to a lower density device. however, the exact deta ils of the final resource utilization will impact the likely suc- cess in each case. for more details refer to the machxo2 migration files .
www.latticesemi.com 3-1 ds1035 dc and switching_01.8 january 2013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. absolute maximum ratings 1, 2, 3, 4 machxo2 ze/he (1.2v) machxo2 hc (2.5v/3.3v) supply voltage v cc . . . . . . . . . . . . . . . . . . . . . . . . -0.5 to 1.32v . . . . . . . . . . . . . . . -0.5 to 3.75v output supply voltage v ccio . . . . . . . . . . . . . . . . -0.5 to 3.75v . . . . . . . . . . . . . . . -0.5 to 3.75v i/o tri-state voltage applied 5 . . . . . . . . . . . . . . . . -0.5 to 3.75v . . . . . . . . . . . . . . . -0.5 to 3.75v dedicated input voltage applied . . . . . . . . . . . . . . -0.5 to 3.75v . . . . . . . . . . . . . . . -0.5 to 3.75v storage temperature (ambient). . . . . . . . . . . . . . -55c to 125c . . . . . . . . . . . . . -55c to 125c junction temperature (t j ) . . . . . . . . . . . . . . . . . . -40c to 125c . . . . . . . . . . . . . -40c to 125c 1. stress above those listed under the ?absolute maximum ra tings? may cause permanent damage to the device. functional operatio n of the device at these or any other conditions abov e those indicated in the operational sectio ns of this specification is not implied. 2. compliance with the lattice thermal management document is required. 3. all voltages referenced to gnd. 4. overshoot and undershoot of -2v to (v ihmax + 2) volts is permitted for a duration of <20ns. 5. the dual function i 2 c pins scl and sda are limited to -0.25v to 3.75v or to -0.3v with a duration of <20ns. recommended operating conditions 1 power supply ramp rates 1 symbol parameter min. max. units v cc 1 core supply voltage for 1.2v devices 1.14 1.26 v core supply voltage for 2.5v/3.3v devices 2.375 3.465 v v ccio 1, 2, 3 i/o driver supply voltage 1.14 3.465 v t jcom junction temperature commercial operation 0 85 c t jind junction temperature industrial operation -40 100 c 1. like power supplies must be tied together. for example, if v ccio and v cc are both the same voltage, they must also be the same supply. 2. see recommended voltages by i/o standard in subsequent table. 3. v ccio pins of unused i/o banks should be connected to the v cc power supply on boards. symbol parameter min. typ. max. units t ramp power supply ramp rates for all power supplies. 0.01 ? 100 v/ms 1. assumes monotonic ramp rates. machxo2 family data sheet dc and switching characteristics
3-2 dc and switching characteristics machxo2 family data sheet power-on-reset voltage levels 1, 2, 3, 4 programming/erase specifications hot socketing specifications 1, 2, 3 esd performance please refer to the machxo2 product family qualification summary for complete qualificat ion data, including esd performance. symbol parameter min. typ. max. units v porup power-on-reset ramp up trip point (band gap based circuit monitoring v ccint and v ccio ) 0.9 ? 1.06 v v porupext power-on-reset ramp up trip point (band gap based circuit monitoring external v cc power supply) 1.5 ? 2.1 v v pordnbg power-on-reset ramp down trip point (band gap based circuit monitoring v ccint ) ? ? 0.93 v v pordnsram power-on-reset ramp down trip point (sram based circuit monitoring v ccint ) ?0.6? v 1. these por trip points are onl y provided for guidance. device operation is only characterized for power supply voltages specif ied under rec- ommended operating conditions. 2. for devices without voltage regulators v ccint is the same as the v cc supply voltage. for devices with voltage regulators, v ccint is regu- lated from the v cc supply voltage. 3. note that v porup (min.) and v pordnbg (max.) are in different process cor ners. for any given process corner v pordnbg (max.) is always 12.0mv below v porup (min.). 4. v porupext is for hc devices only. in these devices a separate por circuit monitors the external v cc power supply. symbol parameter min. max. 1 units n progcyc flash programming cycles per t retention ? 10,000 cycles flash functional programming cycles ? 100,000 t retention data retention at 100c junction temperature 10 ? years data retention at 85c junction temperature 20 ? 1. maximum flash memory reads are limited to 7.5e13 cycles over the lifetime of the product. symbol parameter condition max. units i dk input or i/o leakage current 0 < v in < v ih (max) +/-1000 a 1. insensitive to sequence of v cc and v ccio . however, assumes monotonic rise/fall rates for v cc and v ccio . 2. 0 < v cc < v cc (max), 0 < v ccio < v ccio (max). 3. i dk is additive to i pu , i pd or i bh .
3-3 dc and switching characteristics machxo2 family data sheet dc electrical characteristics over recommended operating conditions symbol parameter condition min. typ. max. units i il , i ih 1, 4 input or i/o leakage clamp off and v ccio < v in < v ih (max) ? ? +175 a clamp off and v in = v ccio -10 ? 10 a clamp off and v ccio - 0.97v < v in < v ccio -175 ?? a clamp off and 0v < v in < v ccio - 0.97v ? ? 10 a clamp off and v in = gnd ? ? 10 a clamp on and 0v < v in < v ccio ??10a i pu i/o active pull-up current 0 < v in < 0.7 v ccio -30 ? -309 a i pd i/o active pull-down current v il (max) < v in < v ccio 30 ? 305 a i bhls bus hold low sustaining current v in = v il (max) 30 ?? a i bhhs bus hold high sustaining current v in = 0.7v ccio -30 ? ? a i bhlo bus hold low overdrive current 0 ? v in ?? v ccio ? ? 305 a i bhho bus hold high overdrive current 0 ? v in ?? v ccio ? ? -309 a v bht 3 bus hold trip points v il (max) ? v ih (min) v c1 i/o capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = typ., v io = 0 to v ih (max) 359pf c2 dedicated input capacitance 2 v ccio = 3.3v, 2.5v, 1.8v, 1.5v, 1.2v, v cc = typ., v io = 0 to v ih (max) 35.57 pf v hyst hysteresis for schmitt trigger inputs 5 v ccio = 3.3v, hysteresis = large ? 450 ? mv v ccio = 2.5v, hysteresis = large ? 250 ? mv v ccio = 1.8v, hysteresis = large ? 125 ? mv v ccio = 1.5v, hysteresis = large ? 100 ? mv v ccio = 3.3v, hysteresis = small ? 250 ? mv v ccio = 2.5v, hysteresis = small ? 150 ? mv v ccio = 1.8v, hysteresis = small ? 60 ? mv v ccio = 1.5v, hysteresis = small ? 40 ? mv 1. input or i/o leakage current is measured with the pin configured as an input or as an i/o with the output driver tri-stated. it is not measured with the output driver active. bus maintenance circuits are disabled. 2. t a 25c, f = 1.0mhz. 3. please refer to v il and v ih in the sysio single-ended dc electrical characteristics table of this document. 4. when v ih is higher than v ccio , a transient current typically of 30n s in duration or less with a peak current of 6ma can occur on the high-to- low transition. for true lvds output pins in machxo2-640u, machxo2-1200/u and larger devices, v ih must be less than or equal to v ccio . 5. with bus keeper circuit turned on. for more details, refer to tn1202, machxo2 sysio usage guide .
3-4 dc and switching characteristics machxo2 family data sheet static supply current ? ze devices 1, 2, 3, 6 static power consumption contribu tion of different components ? ? ze devices the table below can be used for approximating static power consumption. for a more accurate power analysis for your design please use the power calculator tool. symbol parameter device typ. 4 units i cc core power supply lcmxo2-256ze 18 a lcmxo2-640ze 28 a lcmxo2-1200ze 56 a lcmxo2-2000ze 80 a lcmxo2-4000ze 124 a lcmxo2-7000ze 189 a i ccio bank power supply 5 v ccio = 2.5v all devices 0 ma 1. for further information on suppl y current, please refer to tn1198, power estimation and management for machxo2 devices . 2. assumes blank pattern with the followi ng characteristics: all outputs are tri-stated, all inputs are configured as lvcmos an d held at v ccio or gnd, on-chip oscillator is off, on-chip pll is off. to es timate the impact of turning each of these items on, please refer t o the following table or for more detail with your specif ic design use the power calculator tool. 3. frequency = 0 mhz. 4. t j = 25c, power supplies at nominal voltage. 5. does not include pull-up/pull-down. 6. to determine the machxo2 peak start-up cu rrent data, use the power calculator tool. symbol parameter typ. units i dcbg bandgap dc power contribution 101 a i dcpor por dc power contribution 38 a i dciobankcontroller dc power contribution per i/o bank controller 143 a
3-5 dc and switching characteristics machxo2 family data sheet static supply current ? hc/he devices 1, 2, 3, 6 programming and erase flash supply current ? ze devices 1, 2, 3, 4 symbol parameter device typ. 4 units i cc core power supply lcmxo2-256hc 1.15 ma lcmxo2-640hc 1.84 ma lcmxo2-640uhc 3.48 ma lcmxo2-1200hc 3.49 ma lcmxo2-1200uhc 4.80 ma lcmxo2-2000hc 4.80 ma lcmxo2-2000uhc 8.44 ma lcmxo2-4000hc 8.45 ma lcmxo2-7000hc 12.87 ma lcmxo2-2000he 1.39 ma lcmxo2-4000he 2.55 ma lcmxo2-7000he 4.06 ma i ccio bank power supply 5 v ccio = 2.5v all devices 0 ma 1. for further information on suppl y current, please refer to tn1198, power estimation and management for machxo2 devices . 2. assumes blank pattern with the following characteristics: al l outputs are tri-stated, all inpu ts are configured as lvcmos an d held at v ccio or gnd, on-chip oscillator is off, on-chip pll is off. 3. frequency = 0 mhz. 4. t j = 25c, power supplies at nominal voltage. 5. does not include pull-up/pull-down. 6. to determine the machxo2 peak start-up cu rrent data, use the power calculator tool. symbol parameter device typ. 5 units i cc core power supply lcmxo2-256ze 13 ma lcmxo2-640ze 14 ma lcmxo2-1200ze 15 ma lcmxo2-2000ze 17 ma lcmxo2-4000ze 18 ma lcmxo2-7000ze 20 ma i ccio bank power supply 6 all devices 0 ma 1. for further information on suppl y current, please refer to tn1198, power estimation and management for machxo2 devices . 2. assumes all inputs are held at v ccio or gnd and all outputs are tri-stated. 3. typical user pattern. 4. jtag programming is at 25 mhz. 5. tj = 25c, power supplies at nominal voltage. 6. per bank. v ccio = 2.5v. does not include pull-up/pull-down.
3-6 dc and switching characteristics machxo2 family data sheet programming and erase flash su pply current ? hc/he devices 1, 2, 3, 4 symbol parameter device typ. 5 units i cc core power supply lcmxo2-256hc 14.6 ma lcmxo2-640hc 16.1 ma lcmxo2-640uhc 18.8 ma lcmxo2-1200hc 18.8 ma lcmxo2-1200uhc 22.1 ma lcmxo2-2000hc 22.1 ma lcmxo2-2000uhc 26.8 ma lcmxo2-4000hc 26.8 ma lcmxo2-7000hc 33.2 ma lcmxo2-2000he 18.3 ma lcmxo2-2000uhe 20.4 ma lcmxo2-4000he 20.4 ma lcmxo2-7000he 23.9 ma i ccio bank power supply 6 all devices 0 ma 1. for further information on suppl y current, please refer to tn1198, power estimation and management for machxo2 devices . 2. assumes all inputs are held at v ccio or gnd and all outputs are tri-stated. 3. typical user pattern. 4. jtag programming is at 25 mhz. 5. t j = 25c, power supplies at nominal voltage. 6. per bank. v ccio = 2.5v. does not include pull-up/pull-down.
3-7 dc and switching characteristics machxo2 family data sheet sysio recommended operating conditions standard v ccio (v) v ref (v) min. typ. max. min. typ. max. lvcmos 3.3 3.135 3.3 3.465 ? ? ? lvcmos 2.5 2.375 2.5 2.625 ? ? ? lvcmos 1.8 1.71 1.8 1.89 ? ? ? lvcmos 1.5 1.425 1.5 1.575 ? ? ? lvcmos 1.2 1.14 1.2 1.26 ? ? ? lvttl 3.135 3.3 3.465 ? ? ? pci 3 3.135 3.3 3.465 ? ? ? sstl25 2.375 2.5 2.625 1.15 1.25 1.35 sstl18 1.71 1.8 1.89 0.833 0.9 0.969 hstl18 1.71 1.8 1.89 0.816 0.9 1.08 lv d s 2 5 1, 2 2.375 2.5 2.625 ? ? ? lv d s 3 3 1, 2 3.135 3.3 3.465 ? ? ? lvpecl 1 3.135 3.3 3.465 ? ? ? blvds 1 2.375 2.5 2.625 ? ? ? rsds 1 2.375 2.5 2.625 ? ? ? sstl18d 1.71 1.8 1.89 ? ? ? sstl25d 2.375 2.5 2.625 ? ? ? hstl18d 1.71 1.8 1.89 ? ? ? 1. inputs on-chip. outputs are implemented with the addition of external resistors. 2. machxo2-640u, machxo2-1200/u and larger devices have dedicated lvds buffers 3. input on the bottom bank of the machxo2- 640u, machxo2-1200/u and larger devices only.
3-8 dc and switching characteristics machxo2 family data sheet sysio single-ended dc el ectrical characteristics 1, 2 input/output standard v il v ih v ol max. (v) v oh min. (v) i ol max. 4 (ma) i oh max. 4 (ma) min. (v) 3 max. (v) min. (v) max. (v) lv c m o s 3 . 3 lv t t l -0.3 0.8 2.0 3.6 0.4 v ccio - 0.4 4-4 8-8 12 -12 16 -16 24 -24 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 2.5 -0.3 0.7 1.7 3.6 0.4 v ccio - 0.4 4-4 8-8 12 -12 16 -16 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.8 -0.3 0.35v ccio 0.65v ccio 3.6 0.4 v ccio - 0.4 4-4 8-8 12 -12 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.5 -0.3 0.35v ccio 0.65v ccio 3.6 0.4 v ccio - 0.4 4-4 8-8 0.2 v ccio - 0.2 0.1 -0.1 lvcmos 1.2 -0.3 0.35v ccio 0.65v ccio 3.6 0.4 v ccio - 0.4 4-2 8-6 0.2 v ccio - 0.2 0.1 -0.1 pci -0.3 0.3v ccio 0.5v ccio 3.6 0.1v ccio 0.9v ccio 1.5 -0.5 sstl25 class i -0.3 v ref - 0.18 v ref + 0.18 3.6 0.54 v ccio - 0.62 8 8 sstl25 class ii -0.3 v ref - 0.18 v ref +0.18 3.6 na na na na sstl18 class i -0.3 v ref - 0.125 v ref +0.125 3.6 0.40 v ccio - 0.40 8 8 sstl18 class ii -0.3 v ref - 0.125 v ref +0.125 3.6 na na na na hstl18 class i -0.3 v ref - 0.1 v ref +0.1 3.6 0.40 v ccio - 0.40 8 8 hstl18 class ii -0.3 v ref - 0.1 v ref +0.1 3.6 na na na na 1. machxo2 devices allow lvcmos inputs to be placed in i/o banks where v ccio is different from what is s pecified in the applicable jedec specification. this is referred to as a ra tioed input buffer. in a majority of cases this operation follows or exceeds the appl icable jedec spec- ification. the cases where machxo2 devices do not meet the re levant jedec specification are documented in the table below. 2. machxo2 devices allow for lvcmos referenced i/os which follow applicable jedec specifications. for more details about mixed m ode operation please refer to please refer to tn1202, machxo2 sysio usage guide . 3. the dual function i 2 c pins scl and sda are limited to a v il min of -0.25v or to -0.3v with a duration of <10ns. 4. the average dc current drawn by i/os between gnd connections, or between the last gnd in an i/o bank and the end of an i/o ba nk, as shown in the logic signal connections table shall not exceed n * 8ma. where n is the number of i/os betw een bank gnd connection s or between the last gnd in a bank and the end of a bank. input standard v ccio (v) v il max. (v) lvcmos 33 1.5 0.685 lvcmos 25 1.5 1.687 lvcmos 18 1.5 1.164
3-9 dc and switching characteristics machxo2 family data sheet sysio differential elec trical characteristics the lvds differential output buffers are available on the top side of machxo2-640u, machxo2-1200/u and higher density devices in the machxo2 pld family. lv d s over recommended operating conditions parameter symbol parameter description test conditions min. typ. max. units v inp , v inm input voltage v ccio = 3.3 0 ? 2.605 v v ccio = 2.5 0 ? 2.05 v v thd differential input threshold 100 ? mv v cm input common mode voltage v ccio = 3.3v 0.05 ? 2.6 v v ccio = 2.5v 0.05 ? 2.0 v i in input current power on ? ? 10 a v oh output high voltage for v op or v om r t = 100 ohm ? 1.375 ? v v ol output low voltage for v op or v om r t = 100 ohm 0.90 1.025 ? v v od output voltage differential (v op - v om ), r t = 100 ohm 250 350 450 mv ? v od change in v od between high and low ? ? 50 mv v os output voltage offset (v op - v om )/2, r t = 100 ohm 1.125 1.20 1.395 v ? v os change in v os between h and l ? ? 50 mv i osd output short circuit current v od = 0v driver outputs shorted ? ? 24 ma
3-10 dc and switching characteristics machxo2 family data sheet lvds emulation machxo2 devices can support lvds outputs via emulation (lvds25e). the output is emulated using complemen- tary lvcmos outputs in conjunction with resistors across the driver outputs on all devices. the scheme shown in figure 3-1 is one possible solution for lvds standard implementation. resistor values in figure 3-1 are industry standard values for 1% resistors. figure 3-1. lvds using external resistors (lvds25e) table 3-1. lvds25e dc conditions over recommended operating conditions parameter description typ. units z out output impedance 20 ohms r s driver series resistor 158 ohms r p driver parallel resistor 140 ohms r t receiver termination 100 ohms v oh output high voltage 1.43 v v ol output low voltage 1.07 v v od output differenti al voltage 0.35 v v cm output common mode voltage 1.25 v z back back impedance 100.5 ohms i dc dc output current 6.03 ma 158 158 zo = 100 140 100 on-chip on-chip off-chip off-chip vccio = 2.5 8ma 8ma note: all resistors are ?%. vccio = 2.5 + - emulated lvds buffer
3-11 dc and switching characteristics machxo2 family data sheet blvds the machxo2 family supports the blvds standard through emulation. the output is emulated using complemen- tary lvcmos outputs in conjunction with resistors across the driver outputs. the input standard is supported by the lvds differential input buffer. blvds is intended for use when multi-drop and bi-directional multi-point differen- tial signaling is required. the scheme shown in figure 3-2 is one possible solution for bi-directional multi-point dif- ferential signals. figure 3-2. blvds multi-point output example table 3-2. blvds dc conditions 1 over recommended operating conditions symbol description nominal units zo = 45 zo = 90 z out output impedance 10 10 ohms r s driver series resistance 80 80 ohms r tleft left end termination 45 90 ohms r tright right end termination 45 90 ohms v oh output high voltage 1.376 1.480 v v ol output low voltage 1.124 1.020 v v od output differential voltage 0.253 0.459 v v cm output common mode voltage 1.250 1.250 v i dc dc output current 11.236 10.204 ma 1. for input buffer, see lvds table. heavily loaded backplane, effective zo ~ 45 to 90 ohms differential 2.5v 80 80 80 80 80 80 45-90 ohms 45-90 ohms 80 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v 2.5v + - . . . + - . . . + - + - 16ma 16ma 16ma 16ma 16ma 16ma 16ma 16ma
3-12 dc and switching characteristics machxo2 family data sheet lvpecl the machxo2 family supports the diff erential lvpecl standard through emulation. this output stand ard is emu- lated using complementary lvcmos outputs in conjunction with resistors across the driver outputs on all the devices. the lvpecl input standard is supported by the lvds differential input buffer. the scheme shown in dif- ferential lvpecl is one possible so lution for point-t o-point signals. figure 3-3. diff erential lvpecl table 3-3. lvpecl dc conditions 1 over recommended operating conditions for further information on lvpecl, blvd s and other differential in terfaces please see deta ils of additional techni- cal documentation at the end of the data sheet. symbol description nominal units z out output impedance 10 ohms r s driver series resistor 93 ohms r p driver parallel resistor 196 ohms r t receiver termination 100 ohms v oh output high voltage 2.05 v v ol output low voltage 1.25 v v od output differential voltage 0.80 v v cm output common mode voltage 1.65 v z back back impedance 100.5 ohms i dc dc output current 12.11 ma 1. for input buffer, see lvds table. transmission line, zo = 100 ohm differential 100 ohms 93 ohms 16ma 16ma 93 ohms off-chip on-chip v ccio = 3.3v v ccio = 3.3v + - 196 ohms on-chip off-chip
3-13 dc and switching characteristics machxo2 family data sheet rsds the machxo2 family supports the differential rsds standard. the output standard is emulated using complemen- tary lvcmos outputs in conjunction with resistors across the driver outputs on all the devices. the rsds input standard is supported by the lvds differential input buffer. the scheme shown in figure 3-4 is one possible solu- tion for rsds standard implementation. use lvds25e mode with suggested resistors for rsds operation. resis- tor values in figure 3-4 are industry standard values for 1% resistors. figure 3-4. rsds (reduced swing differential standard) table 3-4. rsds dc conditions parameter description typical units z out output impedance 20 ohms r s driver series resistor 294 ohms r p driver parallel resistor 121 ohms r t receiver termination 100 ohms v oh output high voltage 1.35 v v ol output low voltage 1.15 v v od output differential voltage 0.20 v v cm output common mode voltage 1.25 v z back back impedance 101.5 ohms i dc dc output current 3.66 ma 100 294 294 on-chip on-chip off-chip emulated rsds buffer vccio = 2.5v vccio = 2.5v 8ma 8ma zo = 100 + - 121 off-chip
3-14 dc and switching characteristics machxo2 family data sheet typical building block function performance ? hc/he devices 1 pin-to-pin performance (lvcmos25 12ma drive) register-to-register performance function -6 timing units basic functions 16-bit decoder 8.9 ns 4:1 mux 7.5 ns 16:1 mux 8.3 ns function -6 timing units basic functions 16:1 mux 412 mhz 16-bit adder 297 mhz 16-bit counter 324 mhz 64-bit counter 161 mhz embedded memory functions 1024x9 true-dual port ram (write through or normal, ebr output registers) 183 mhz distributed memory functions 16x4 pseudo-dual port ram (one pfu) 500 mhz 1. the above timing numbers are generated using the diamond design tool. exact performance may vary with device and tool version. the tool uses inter nal parameters that have been characterized but are not tested on every device.
3-15 dc and switching characteristics machxo2 family data sheet typical building block function performance ? ze devices 1 pin-to-pin performance (lvcmos25 12ma drive) register-to-register performance derating logic timing logic timing provided in the following sections of the data sheet and the lattice design tools are worst case num- bers in the operating range. actual delays may be much faster. lattice design tools can provide logic timing num- bers at a particular temperature and voltage. function -3 timing units basic functions 16-bit decoder 13.9 ns 4:1 mux 10.9 ns 16:1 mux 12.0 ns function -3 timing units basic functions 16:1 mux 191 mhz 16-bit adder 134 mhz 16-bit counter 148 mhz 64-bit counter 77 mhz embedded memory functions 1024x9 true-dual port ram (write through or normal, ebr output registers) 90 mhz distributed memory functions 16x4 pseudo-dual port ram (one pfu) 214 mhz 1. the above timing numbers are generated using the diamond design tool. exact performance may vary with device and tool version. the tool uses inter nal parameters that have been characterized but are not tested on every device.
3-16 dc and switching characteristics machxo2 family data sheet maximum sysio buffer performance i/o standard max. speed units lvds25 400 mhz lvds25e 150 mhz rsds25 150 mhz rsds25e 150 mhz blvds25 150 mhz blvds25e 150 mhz mlvds25 150 mhz mlvds25e 150 mhz lvpecl33 150 mhz lvpecl33e 150 mhz sstl25_i 150 mhz sstl25_ii 150 mhz sstl25d_i 150 mhz sstl25d_ii 150 mhz sstl18_i 150 mhz sstl18_ii 150 mhz sstl18d_i 150 mhz sstl18d_ii 150 mhz hstl18_i 150 mhz hstl18_ii 150 mhz hstl18d_i 150 mhz hstl18d_ii 150 mhz pci33 134 mhz lvttl33 150 mhz lvttl33d 150 mhz lvcmos33 150 mhz lvcmos33d 150 mhz lvcmos25 150 mhz lvcmos25d 150 mhz lvcmos25r33 150 mhz lvcmos18 150 mhz lvcmos18d 150 mhz lvcmos18r33 150 mhz lvcmos18r25 150 mhz lvcmos15 150 mhz lvcmos15d 150 mhz lvcmos15r33 150 mhz lvcmos15r25 150 mhz lvcmos12 91 mhz lvcmos12d 91 mhz
3-17 dc and switching characteristics machxo2 family data sheet machxo2 external switching ch aracteristics ? hc/he devices 1, 2, 3, 4, 5, 6, 7 over recommended operating conditions parameter description device -6 -5 -4 units min. max. min. max. min. max. clocks primary clocks f max_pri 8 frequency for primary clock tr e e all machxo2 devices ? 388 ? 323 ? 269 mhz t w_pri clock pulse width for primary clock all machxo2 devices 0.5 ? 0.6 ? 0.7 ? ns t skew_pri primary clock skew within a device machxo2-256hc-he ? 912 ? 939 ? 975 ps machxo2-640hc-he ? 844 ? 871 ? 908 ps machxo2-1200hc-he ? 868 ? 902 ? 951 ps machxo2-2000hc-he ? 867 ? 897 ? 941 ps machxo2-4000hc-he ? 865 ? 892 ? 931 ps machxo2-7000hc-he ? 902 ? 942 ? 989 ps edge clock f max_edge 8 frequency for edge clock machxo2-1200 and larger devices ? 400 ? 333 ? 278 mhz pin-lut-pin propagation delay t pd best case propagation delay through one lut-4 all machxo2 devices ? 6.72 ? 6.96 ? 7.24 ns general i/o pin parameters (using primary clock without pll) t co clock to output - pio output register machxo2-256hc-he ? 7.13 ? 7.30 ? 7.57 ns machxo2-640hc-he ? 7.15 ? 7.30 ? 7.57 ns machxo2-1200hc-he ? 7.44 ? 7.64 ? 7.94 ns machxo2-2000hc-he ? 7.46 ? 7.66 ? 7.96 ns machxo2-4000hc-he ? 7.51 ? 7.71 ? 8.01 ns machxo2-7000hc-he ? 7.54 ? 7.75 ? 8.06 ns t su clock to data setup - pio input register machxo2-256hc-he -0.06 ? -0.06 ? -0.06 ? ns machxo2-640hc-he -0.06 ? -0.06 ? -0.06 ? ns machxo2-1200hc-he -0.17 ? -0.17 ? -0.17 ? ns machxo2-2000hc-he -0.20 ? -0.20 ? -0.20 ? ns machxo2-4000hc-he -0.23 ? -0.23 ? -0.23 ? ns machxo2-7000hc-he -0.23 ? -0.23 ? -0.23 ? ns t h clock to data hold - pio input register machxo2-256hc-he 1.75 ? 1.95 ? 2.16 ? ns machxo2-640hc-he 1.75 ? 1.95 ? 2.16 ? ns machxo2-1200hc-he 1.88 ? 2.12 ? 2.36 ? ns machxo2-2000hc-he 1.89 ? 2.13 ? 2.37 ? ns machxo2-4000hc-he 1.94 ? 2.18 ? 2.43 ? ns machxo2-7000hc-he 1.98 ? 2.23 ? 2.49 ? ns
3-18 dc and switching characteristics machxo2 family data sheet t su_del clock to data setup - pio input register with data input delay machxo2-256hc-he 1.42 ? 1.59 ? 1.96 ? ns machxo2-640hc-he 1.41 ? 1.58 ? 1.96 ? ns machxo2-1200hc-he 1.63 ? 1.79 ? 2.17 ? ns machxo2-2000hc-he 1.61 ? 1.76 ? 2.13 ? ns machxo2-4000hc-he 1.66 ? 1.81 ? 2.19 ? ns machxo2-7000hc-he 1.53 ? 1.67 ? 2.03 ? ns t h_del clock to data hold - pio input register with input data delay machxo2-256hc-he -0.24 ? -0.24 ? -0.24 ? ns machxo2-640hc-he -0.23 ? -0.23 ? -0.23 ? ns machxo2-1200hc-he -0.24 ? -0.24 ? -0.24 ? ns machxo2-2000hc-he -0.23 ? -0.23 ? -0.23 ? ns machxo2-4000hc-he -0.25 ? -0.25 ? -0.25 ? ns machxo2-7000hc-he -0.21 ? -0.21 ? -0.21 ? ns f max_io clock frequency of i/o and pfu register all machxo2 devices ? 388 ? 323 ? 269 mhz general i/o pin parameters (using edge clock without pll) t coe clock to output - pio output register machxo2-1200hc-he ? 7.53 ? 7.76 ? 8.10 ns machxo2-2000hc-he ? 7.53 ? 7.76 ? 8.10 ns machxo2-4000hc-he ? 7.45 ? 7.68 ? 8.00 ns machxo2-7000hc-he ? 7.53 ? 7.76 ? 8.10 ns t sue clock to data setup - pio input register machxo2-1200hc-he -0.19 ? -0.19 ? -0.19 ? ns machxo2-2000hc-he -0.19 ? -0.19 ? -0.19 ? ns machxo2-4000hc-he -0.16 ? -0.16 ? -0.16 ? ns machxo2-7000hc-he -0.19 ? -0.19 ? -0.19 ? ns t he clock to data hold - pio input register machxo2-1200hc-he 1.97 ? 2.24 ? 2.52 ? ns machxo2-2000hc-he 1.97 ? 2.24 ? 2.52 ? ns machxo2-4000hc-he 1.89 ? 2.16 ? 2.43 ? ns machxo2-7000hc-he 1.97 ? 2.24 ? 2.52 ? ns t su_dele clock to data setup - pio input register with data input delay machxo2-1200hc-he 1.56 ? 1.69 ? 2.05 ? ns machxo2-2000hc-he 1.56 ? 1.69 ? 2.05 ? ns machxo2-4000hc-he 1.74 ? 1.88 ? 2.25 ? ns machxo2-7000hc-he 1.66 ? 1.81 ? 2.17 ? ns t h_dele clock to data hold - pio input register with input data delay machxo2-1200hc-he -0.23 ? -0.23 ? -0.23 ? ns machxo2-2000hc-he -0.23 ? -0.23 ? -0.23 ? ns machxo2-4000hc-he -0.34 ? -0.34 ? -0.34 ? ns machxo2-7000hc-he -0.29 ? -0.29 ? -0.29 ? ns general i/o pin parameters (using primary clock with pll) t copll clock to output - pio output register machxo2-1200hc-he ? 5.97 ? 6.00 ? 6.13 ns machxo2-2000hc-he ? 5.98 ? 6.01 ? 6.14 ns machxo2-4000hc-he ? 5.99 ? 6.02 ? 6.16 ns machxo2-7000hc-he ? 6.02 ? 6.06 ? 6.20 ns t supll clock to data setup - pio input register machxo2-1200hc-he 0.36 ? 0.36 ? 0.65 ? ns machxo2-2000hc-he 0.36 ? 0.36 ? 0.63 ? ns machxo2-4000hc-he 0.35 ? 0.35 ? 0.62 ? ns machxo2-7000hc-he 0.34 ? 0.34 ? 0.59 ? ns parameter description device -6 -5 -4 units min. max. min. max. min. max.
3-19 dc and switching characteristics machxo2 family data sheet t hpll clock to data hold - pio input register machxo2-1200hc-he 0.41 ? 0.48 ? 0.55 ? ns machxo2-2000hc-he 0.42 ? 0.49 ? 0.56 ? ns machxo2-4000hc-he 0.43 ? 0.50 ? 0.58 ? ns machxo2-7000hc-he 0.46 ? 0.54 ? 0.62 ? ns t su_delpll clock to data setup - pio input register with data input delay machxo2-1200hc-he 2.88 ? 3.19 ? 3.72 ? ns machxo2-2000hc-he 2.87 ? 3.18 ? 3.70 ? ns machxo2-4000hc-he 2.96 ? 3.28 ? 3.81 ? ns machxo2-7000hc-he 3.05 ? 3.35 ? 3.87 ? ns t h_delpll clock to data hold - pio input register with input data delay machxo2-1200hc-he -0.83 ? -0.83 ? -0.83 ? ns machxo2-2000hc-he -0.83 ? -0.83 ? -0.83 ? ns machxo2-4000hc-he -0.87 ? -0.87 ? -0.87 ? ns machxo2-7000hc-he -0.91 ? -0.91 ? -0.91 ? ns generic ddrx1 inputs with clock and data aligned at pin using pclk pin for clock input ? gddrx1_rx.sclk.aligned 9 t dva input data valid after clk all machxo2 devices, all sides ? 0.317 ? 0.344 ? 0.368 ui t dve input data hold after clk 0.742 ? 0.702 ? 0.668 ? ui f data ddrx1 input data speed ? 300 ? 250 ? 208 mbps f ddrx1 ddrx1 sclk frequency ? 150 ? 125 ? 104 mhz generic ddrx1 inputs with clock and data centered at pin using pclk pin fo r clock input ? gddrx 1_rx.sclk.centered 9 t su input data setup before clk all machxo2 devices, all sides 0.566 ? 0.560 ? 0.538 ? ns t ho input data hold after clk 0.778 ? 0.879 ? 1.090 ? ns f data ddrx1 input data speed ? 300 ? 250 ? 208 mbps f ddrx1 ddrx1 sclk frequency ? 150 ? 125 ? 104 mhz generic ddrx2 inputs with clock and data aligned at pin using pclk pin for clock i nput ? gddrx2_rx.eclk.aligned 9 t dva input data valid after clk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.316 ? 0.342 ? 0.364 ui t dve input data hold after clk 0.710 ? 0.675 ? 0.679 ? ui f data ddrx2 serial input data speed ? 664 ? 554 ? 462 mbps f ddrx2 ddrx2 eclk frequency ? 332 ? 277 ? 231 mhz f sclk sclk frequency ? 166 ? 139 ? 116 mhz generic ddrx2 inputs with clock and data centered at pin using pclk pin for clock inpu t ? gddrx2_rx.eclk.centered 9 t su input data setup before clk machxo2-640u, machxo2-1200/u and larger devices, bottom side only 0.233 ? 0.219 ? 0.198 ? ns t ho input data hold after clk 0.287 ? 0.287 ? 0.344 ? ns f data ddrx2 serial input data speed ? 664 ? 554 ? 462 mbps f ddrx2 ddrx2 eclk frequency ? 332 ? 277 ? 231 mhz f sclk sclk frequency ? 166 ? 139 ? 116 mhz parameter description device -6 -5 -4 units min. max. min. max. min. max.
3-20 dc and switching characteristics machxo2 family data sheet generic ddr4 inputs with clock and data aligned at pin using pclk pin for clock input ? gddrx4_rx.eclk.aligned 9 t dva input data valid after eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.290 ? 0.320 ? 0.345 ui t dve input data hold after eclk 0.739 ? 0.699 ? 0.703 ? ui f data ddrx4 serial input data speed ? 756 ? 630 ? 524 mbps f ddrx4 ddrx4 eclk frequency ? 378 ? 315 ? 262 mhz f sclk sclk frequency ? 95 ? 79 ? 66 mhz generic ddr4 inputs with clock and data centered at pi n using pclk pin for clock in put ? gddrx4_rx.eclk.centered 9 t su input data setup before eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only 0.233 ? 0.219 ? 0.198 ? ns t ho input data hold after eclk 0.287 ? 0.287 ? 0.344 ? ns f data ddrx4 serial input data speed ? 756 ? 630 ? 524 mbps f ddrx4 ddrx4 eclk frequency ? 378 ? 315 ? 262 mhz f sclk sclk frequency ? 95 ? 79 ? 66 mhz 7:1 lvds inputs (gddr71_rx.eclk.7:1) 9 t dva input data valid after eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.290 ? 0.320 ? 0.345 ui t dve input data hold after eclk 0.739 ? 0.699 ? 0.703 ? ui f data ddr71 serial input data speed ? 756 ? 630 ? 524 mbps f ddr71 ddr71 eclk frequency ? 378 ? 315 ? 262 mhz f clkin 7:1 input clock frequency (sclk) (minimum limited by pll) ? 108 ? 90 ? 75 mhz generic ddr outputs with clock and data aligned at pin using pclk pin for clock input ? gddrx1_tx.sclk.aligned 9 t dia output data invalid after clk output all machxo2 devices, all sides ? 0.520 ? 0.550 ? 0.580 ns t dib output data invalid before clk output ? 0.520 ? 0.550 ? 0.580 ns f data ddrx1 output data speed ? 300 ? 250 ? 208 mbps f ddrx1 ddrx1 sclk frequency ? 150 ? 125 ? 104 mhz generic ddr outputs with clock and data centered at pin using pclk pin for clock input ? gddrx1_tx.sclk.centered 9 t dvb output data valid before clk output all machxo2 devices, all sides 1.210 ? 1.510 ? 1.870 ? ns t dva output data valid after clk output 1.210 ? 1.510 ? 1.870 ? ns f data ddrx1 output data speed ? 300 ? 250 ? 208 mbps f ddrx1 ddrx1 sclk frequency (minimum limited by pll) ? 150 ? 125 ? 104 mhz generic ddrx2 outputs with clock and da ta aligned at pin using pclk pin for clock input ? gddrx2_tx.eclk.aligned 9 t dia output data invalid after clk output machxo2-640u, machxo2-1200/u and larger devices, top side only ? 0.200 ? 0.215 ? 0.230 ns t dib output data invalid before clk output ? 0.200 ? 0.215 ? 0.230 ns f data ddrx2 serial output data speed ? 664 ? 554 ? 462 mbps f ddrx2 ddrx2 eclk frequency ? 332 ? 277 ? 231 mhz f sclk sclk frequency ? 166 ? 139 ? 116 mhz parameter description device -6 -5 -4 units min. max. min. max. min. max.
3-21 dc and switching characteristics machxo2 family data sheet generic ddrx2 outputs with clock and data centered at pin using pclk pin for clock input ? gddrx2 _tx.eclk.centered 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only 0.535 ? 0.670 ? 0.830 ? ns t dva output data valid after clk output 0.535 ? 0.670 ? 0.830 ? ns f data ddrx2 serial output data speed ? 664 ? 554 ? 462 mbps f ddrx2 ddrx2 eclk frequency (minimum limited by pll) ? 332 ? 277 ? 231 mhz f sclk sclk frequency ? 166 ? 139 ? 116 mhz generic ddrx4 outputs with clock and da ta aligned at pin using pclk pin for clock input ? gddrx4_tx.eclk.aligned 9 t dia output data invalid after clk output machxo2-640u, machxo2-1200/u and larger devices, top side only ? 0.200 ? 0.215 ? 0.230 ns t dib output data invalid before clk output ? 0.200 ? 0.215 ? 0.230 ns f data ddrx4 serial output data speed ? 756 ? 630 ? 524 mbps f ddrx4 ddrx4 eclk frequency ? 378 ? 315 ? 262 mhz f sclk sclk frequency ? 95 ? 79 ? 66 mhz generic ddrx4 outputs with clock and data centered at pin using pclk pin for clock input ? gddrx4 _tx.eclk.centered 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only 0.455 ? 0.570 ? 0.710 ? ns t dva output data valid after clk output 0.455 ? 0.570 ? 0.710 ? ns f data ddrx4 serial output data speed ? 756 ? 630 ? 524 mbps f ddrx4 ddrx4 eclk frequency (minimum limited by pll) ? 378 ? 315 ? 262 mhz f sclk sclk frequency ? 95 ? 79 ? 66 mhz 7:1 lvds outputs ? gddr71_tx.eclk.7:1 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only. ? 0.160 ? 0.180 ? 0.200 ns t dva output data valid after clk output ? 0.160 ? 0.180 ? 0.200 ns f data ddr71 serial output data speed ? 756 ? 630 ? 524 mbps f ddr71 ddr71 eclk frequency ? 378 ? 315 ? 262 mhz f clkout 7:1 output clock frequency (sclk) (minimum limited by pll) ? 108 ? 90 ? 75 mhz parameter description device -6 -5 -4 units min. max. min. max. min. max.
3-22 dc and switching characteristics machxo2 family data sheet lpddr 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.369 ? 0.395 ? 0.421 ui t dvedq input data hold after dqs input 0.529 ? 0.530 ? 0.527 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem lpddr serial data speed ? 280 ? 250 ? 208 mbps f sclk sclk frequency ? 140 ? 125 ? 104 mhz f lpddr lpddr data transfer rate 0 280 0 250 0 208 mbps ddr 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.350 ? 0.387 ? 0.414 ui t dvedq input data hold after dqs input 0.545 ? 0.538 ? 0.532 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem ddr serial data speed ? 300 ? 250 ? 208 mbps f sclk sclk frequency ? 150 ? 125 ? 104 mhz f mem_ddr mem ddr data transfer rate n/a 300 n/a 250 n/a 208 mbps ddr2 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.360 ? 0.378 ? 0.406 ui t dvedq input data hold after dqs input 0.555 ? 0.549 ? 0.542 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem ddr serial data speed ? 300 ? 250 ? 208 mbps f sclk sclk frequency ? 150 ? 125 ? 104 mhz f mem_ddr2 mem ddr2 data transfer rate n/a 300 n/a 250 n/a 208 mbps 1. exact performance may vary with device and design implementati on. commercial timing numbers are shown at 85c and 1.14v. othe r operating conditions, including industrial, can be extracted from the diamond software. 2. general i/o timing numbers based on lvcmos 2.5, 8ma, 0pf load. 3. generic ddr timing number s based on lvds i/o (for input, output, and clock ports). 4. ddr timing numbers based on sstl25. ddr2 timing number s based on sstl18. lpddr timing numbers based in lvcmos18. 5. 7:1 lvds (gddr71) uses the lvds i/o standard (for input, output, and clock ports). 6. for generic ddrx1 mode t su = t ho = (t dve - t dva - 0.03ns)/2. 7. the t su_del and t h_del values use the sclk_zerhold default step size . each step is 105ps (-6), 113ps (-5), 120ps (-4). 8. this number for general purpose usage . duty cycle tolerance is +/-10%. 9. duty cycle is +/- 5% for system usage. 10. the above timing numbers are generated usi ng the diamond design tool. exact performance may vary with the device selected. parameter description device -6 -5 -4 units min. max. min. max. min. max.
3-23 dc and switching characteristics machxo2 family data sheet machxo2 external switching characteristics ? ze devices 1, 2, 3, 4, 5, 6, 7 over recommended operating conditions parameter description device -3 -2 -1 units min. max. min. max. min. max. clocks primary clocks f max_pri 8 frequency for primary clock tr e e all machxo2 devices ? 150 ? 125 ? 104 mhz t w_pri clock pulse width for primary clock all machxo2 devices 1.00 ? 1.20 ? 1.40 ? ns t skew_pri primary clock skew within a device machxo2-256ze ? 1250 ? 1272 ? 1296 ps machxo2-640ze ? 1161 ? 1183 ? 1206 ps machxo2-1200ze ? 1213 ? 1267 ? 1322 ps machxo2-2000ze ? 1204 ? 1250 ? 1296 ps machxo2-4000ze ? 1195 ? 1233 ? 1269 ps machxo2-7000ze ? 1243 ? 1268 ? 1296 ps edge clock f max_edge 8 frequency for edge clock machxo2-1200 and larger devices ?210?175?146mhz pin-lut-pin propagation delay t pd best case propagation delay through one lut-4 all machxo2 devices ? 9.35 ? 9.78 ? 10.21 ns general i/o pin parameters (using primary clock without pll) t co clock to output - pio output register machxo2-256ze ? 10.46 ? 10.86 ? 11.25 ns machxo2-640ze ? 10.52 ? 10.92 ? 11.32 ns machxo2-1200ze ? 11.24 ? 11.68 ? 12.12 ns machxo2-2000ze ? 11.27 ? 11.71 ? 12.16 ns machxo2-4000ze ? 11.28 ? 11.78 ? 12.28 ns machxo2-7000ze ? 11.22 ? 11.76 ? 12.30 ns t su clock to data setup - pio input register machxo2-256ze -0.21 ? -0.21 ? -0.21 ? ns machxo2-640ze -0.22 ? -0.22 ? -0.22 ? ns machxo2-1200ze -0.25 ? -0.25 ? -0.25 ? ns machxo2-2000ze -0.27 ? -0.27 ? -0.27 ? ns machxo2-4000ze -0.31 ? -0.31 ? -0.31 ? ns machxo2-7000ze -0.33 ? -0.33 ? -0.33 ? ns t h clock to data hold - pio input register machxo2-256ze 3.96 ? 4.25 ? 4.65 ? ns machxo2-640ze 4.01 ? 4.31 ? 4.71 ? ns machxo2-1200ze 3.95 ? 4.29 ? 4.73 ? ns machxo2-2000ze 3.94 ? 4.29 ? 4.74 ? ns machxo2-4000ze 3.96 ? 4.36 ? 4.87 ? ns machxo2-7000ze 3.93 ? 4.37 ? 4.91 ? ns
3-24 dc and switching characteristics machxo2 family data sheet t su_del clock to data setup - pio input register with data input delay machxo2-256ze 2.62 ? 2.91 ? 3.14 ? ns machxo2-640ze 2.56 ? 2.85 ? 3.08 ? ns machxo2-1200ze 2.30 ? 2.57 ? 2.79 ? ns machxo2-2000ze 2.25 ? 2.50 ? 2.70 ? ns machxo2-4000ze 2.39 ? 2.60 ? 2.76 ? ns machxo2-7000ze 2.17 ? 2.33 ? 2.43 ? ns t h_del clock to data hold - pio input register with input data delay machxo2-256ze -0.44 ? -0.44 ? -0.44 ? ns machxo2-640ze -0.43 ? -0.43 ? -0.43 ? ns machxo2-1200ze -0.28 ? -0.28 ? -0.28 ? ns machxo2-2000ze -0.31 ? -0.31 ? -0.31 ? ns machxo2-4000ze -0.34 ? -0.34 ? -0.34 ? ns machxo2-7000ze -0.21 ? -0.21 ? -0.21 ? ns f max_io clock frequency of i/o and pfu register all machxo2 devices ? 150 ? 125 ? 104 mhz general i/o pin parameters (using edge clock without pll) t coe clock to output - pio output register machxo2-1200ze ? 11.10 ? 11.51 ? 11.91 ns machxo2-2000ze ? 11.10 ? 11.51 ? 11.91 ns machxo2-4000ze ? 10.89 ? 11.28 ? 11.67 ns machxo2-7000ze ? 11.10 ? 11.51 ? 11.91 ns t sue clock to data setup - pio input register machxo2-1200ze -0.23 ? -0.23 ? -0.23 ? ns machxo2-2000ze -0.23 ? -0.23 ? -0.23 ? ns machxo2-4000ze -0.15 ? -0.15 ? -0.15 ? ns machxo2-7000ze -0.23 ? -0.23 ? -0.23 ? ns t he clock to data hold - pio input register machxo2-1200ze 3.81 ? 4.11 ? 4.52 ? ns machxo2-2000ze 3.81 ? 4.11 ? 4.52 ? ns machxo2-4000ze 3.60 ? 3.89 ? 4.28 ? ns machxo2-7000ze 3.81 ? 4.11 ? 4.52 ? ns t su_dele clock to data setup - pio input register with data input delay machxo2-1200ze 2.78 ? 3.11 ? 3.40 ? ns machxo2-2000ze 2.78 ? 3.11 ? 3.40 ? ns machxo2-4000ze 3.11 ? 3.48 ? 3.79 ? ns machxo2-7000ze 2.94 ? 3.30 ? 3.60 ? ns t h_dele clock to data hold - pio input register with input data delay machxo2-1200ze -0.29 ? -0.29 ? -0.29 ? ns machxo2-2000ze -0.29 ? -0.29 ? -0.29 ? ns machxo2-4000ze -0.46 ? -0.46 ? -0.46 ? ns machxo2-7000ze -0.37 ? -0.37 ? -0.37 ? ns general i/o pin parameters (using primary clock with pll) t copll clock to output - pio output register machxo2-1200ze ? 7.95 ? 8.07 ? 8.19 ns machxo2-2000ze ? 7.97 ? 8.10 ? 8.22 ns machxo2-4000ze ? 7.98 ? 8.10 ? 8.23 ns machxo2-7000ze ? 8.02 ? 8.14 ? 8.26 ns t supll clock to data setup - pio input register machxo2-1200ze 0.85 ? 0.85 ? 0.89 ? ns machxo2-2000ze 0.84 ? 0.84 ? 0.86 ? ns machxo2-4000ze 0.84 ? 0.84 ? 0.85 ? ns machxo2-7000ze 0.83 ? 0.83 ? 0.81 ? ns parameter description device -3 -2 -1 units min. max. min. max. min. max.
3-25 dc and switching characteristics machxo2 family data sheet t hpll clock to data hold - pio input register machxo2-1200ze 0.66 ? 0.68 ? 0.80 ? ns machxo2-2000ze 0.68 ? 0.70 ? 0.83 ? ns machxo2-4000ze 0.68 ? 0.71 ? 0.84 ? ns machxo2-7000ze 0.73 ? 0.74 ? 0.87 ? ns t su_delpll clock to data setup - pio input register with data input delay machxo2-1200ze 5.14 ? 5.69 ? 6.20 ? ns machxo2-2000ze 5.11 ? 5.67 ? 6.17 ? ns machxo2-4000ze 5.27 ? 5.84 ? 6.35 ? ns machxo2-7000ze 5.15 ? 5.71 ? 6.23 ? ns t h_delpll clock to data hold - pio input register with input data delay machxo2-1200ze -1.36 ? -1.36 ? -1.36 ? ns machxo2-2000ze -1.35 ? -1.35 ? -1.35 ? ns machxo2-4000ze -1.43 ? -1.43 ? -1.43 ? ns machxo2-7000ze -1.41 ? -1.41 ? -1.41 ? ns generic ddrx1 inputs with clock and data aligned at pin using pclk pin for clock input ? gddrx1_rx.sclk.aligned 9 t dva input data valid after clk all machxo2 devices, all sides ? 0.382 ? 0.401 ? 0.417 ui t dve input data hold after clk 0.670 ? 0.684 ? 0.693 ? ui f data ddrx1 input data speed ? 140 ? 116 ? 98 mbps f ddrx1 ddrx1 sclk frequency ? 70 ? 58 ? 49 mhz generic ddrx1 inputs with clock and data centered at pin using pclk pin for clock input ? gddrx1_rx.sclk.centered 9 t su input data setup before clk all machxo2 devices, all sides 1.319 ? 1.412 ? 1.462 ? ns t ho input data hold after clk 0.717 ? 1.010 ? 1.340 ? ns f data ddrx1 input data speed ? 140 ? 116 ? 98 mbps f ddrx1 ddrx1 sclk frequency ? 70 ? 58 ? 49 mhz generic ddrx2 inputs with clock and data aligned at pin using pclk pin for clock input ? gddrx2_rx.eclk.aligned 9 t dva input data valid after clk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.361 ? 0.346 ? 0.334 ui t dve input data hold after clk 0.602 ? 0.625 ? 0.648 ? ui f data ddrx2 serial input data speed ?280?234?194mbps f ddrx2 ddrx2 eclk frequency ? 140 ? 117 ? 97 mhz f sclk sclk frequency ? 70 ? 59 ? 49 mhz generic ddrx2 inputs with clock and data centered at pin using pclk pin for clock input ? gddrx2_rx.eclk.centered 9 t su input data setup before clk machxo2-640u, machxo2-1200/u and larger devices, bottom side only 0.472 ? 0.672 ? 0.865 ? ns t ho input data hold after clk 0.363 ? 0.501 ? 0.743 ? ns f data ddrx2 serial input data speed ?280?234?194mbps f ddrx2 ddrx2 eclk frequency ? 140 ? 117 ? 97 mhz f sclk sclk frequency ? 70 ? 59 ? 49 mhz generic ddr4 inputs with clock and data aligned at pin using pclk pin for clock input - gddrx4_rx.eclk.aligned 9 t dva input data valid after eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.307 ? 0.316 ? 0.326 ui t dve input data hold after eclk 0.662 ? 0.650 ? 0.649 ? ui f data ddrx4 serial input data speed ?420?352?292mbps f ddrx4 ddrx4 eclk frequency ? 210 ? 176 ? 146 mhz f sclk sclk frequency ? 53 ? 44 ? 37 mhz parameter description device -3 -2 -1 units min. max. min. max. min. max.
3-26 dc and switching characteristics machxo2 family data sheet generic ddr4 inputs with clock and data centered at pin using pclk pin for clock input ? gddrx4_rx.eclk.centered 9 t su input data setup before eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only 0.434 ? 0.535 ? 0.630 ? ns t ho input data hold after eclk 0.385 ? 0.395 ? 0.463 ? ns f data ddrx4 serial input data speed ?420?352?292mbps f ddrx4 ddrx4 eclk frequency ? 210 ? 176 ? 146 mhz f sclk sclk frequency ? 53 ? 44 ? 37 mhz 7:1 lvds inputs ? gddr71_rx.eclk.7.1 9 t dva input data valid after eclk machxo2-640u, machxo2-1200/u and larger devices, bottom side only ? 0.307 ? 0.316 ? 0.326 ui t dve input data hold after eclk 0.662 ? 0.650 ? 0.649 ? ui f data ddr71 serial input data speed ?420?352?292mbps f ddr71 ddr71 eclk frequency ? 210 ? 176 ? 146 mhz f clkin 7:1 input clock frequency (sclk) (minimum limited by pll) ?60?50?42mhz generic ddr outputs with clock and data aligned at pin using pclk pin for clock input ? gddrx1_tx.sclk.aligned 9 t dia output data invalid after clk output all machxo2 devices, all sides ? 0.850 ? 0.910 ? 0.970 ns t dib output data invalid before clk output ? 0.850 ? 0.910 ? 0.970 ns f data ddrx1 output data speed ? 140 ? 116 ? 98 mbps f ddrx1 ddrx1 sclk frequency ? 70 ? 58 ? 49 mhz generic ddr outputs with clock and data centered at pin using pclk pin fo r clock input ? gddrx1_tx.sclk.centered 9 t dvb output data valid before clk output all machxo2 devices, all sides 2.720 ? 3.380 ? 4.140 ? ns t dva output data valid after clk output 2.720 ? 3.380 ? 4.140 ? ns f data ddrx1 output data speed ? 140 ? 116 ? 98 mbps f ddrx1 ddrx1 sclk frequency (minimum limited by pll) ?70?58?49mhz generic ddrx2 outputs with clock and data aligned at pin using pclk pin for clock in put ? gddrx2_tx.eclk.aligned 9 t dia output data invalid after clk output machxo2-640u, machxo2-1200/u and larger devices, top side only ? 0.270 ? 0.300 ? 0.330 ns t dib output data invalid before clk output ? 0.270 ? 0.300 ? 0.330 ns f data ddrx2 serial output data speed ?280?234?194mbps f ddrx2 ddrx2 eclk frequency ? 140 ? 117 ? 97 mhz f sclk sclk frequency ? 70 ? 59 ? 49 mhz parameter description device -3 -2 -1 units min. max. min. max. min. max.
3-27 dc and switching characteristics machxo2 family data sheet generic ddrx2 outputs with clock and da ta centered at pin using pclk pin fo r clock input ? gddrx2_tx.eclk.centered 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only 1.445 ? 1.760 ? 2.140 ? ns t dva output data valid after clk output 1.445 ? 1.760 ? 2.140 ? ns f data ddrx2 serial output data speed ?280?234?194mbps f ddrx2 ddrx2 eclk frequency (minimum limited by pll) ?140?117? 97mhz f sclk sclk frequency ? 70 ? 59 ? 49 mhz generic ddrx4 outputs with clock and data aligned at pin using pclk pin for clock in put ? gddrx4_tx.eclk.aligned 9 t dia output data invalid after clk output machxo2-640u, machxo2-1200/u and larger devices, top side only ? 0.270 ? 0.300 ? 0.330 ns t dib output data invalid before clk output ? 0.270 ? 0.300 ? 0.330 ns f data ddrx4 serial output data speed ?420?352?292mbps f ddrx4 ddrx4 eclk frequency ? 210 ? 176 ? 146 mhz f sclk sclk frequency ? 53 ? 44 ? 37 mhz generic ddrx4 outputs with clock and da ta centered at pin using pclk pin fo r clock input ? gddrx4_tx.eclk.centered 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only 0.873 ? 1.067 ? 1.319 ? ns t dva output data valid after clk output 0.873 ? 1.067 ? 1.319 ? ns f data ddrx4 serial output data speed ?420?352?292mbps f ddrx4 ddrx4 eclk frequency (minimum limited by pll) ?210?176?146mhz f sclk sclk frequency ? 53 ? 44 ? 37 mhz 7:1 lvds outputs ? gddr71_tx.eclk.7:1 9 t dvb output data valid before clk output machxo2-640u, machxo2-1200/u and larger devices, top side only. ? 0.240 ? 0.270 ? 0.300 ns t dva output data valid after clk output ? 0.240 ? 0.270 ? 0.300 ns f data ddr71 serial output data speed ?420?352?292mbps f ddr71 ddr71 eclk frequency ? 210 ? 176 ? 146 mhz f clkout 7:1 output clock frequency (sclk) (minimum limited by pll) ?60?50?42mhz parameter description device -3 -2 -1 units min. max. min. max. min. max.
3-28 dc and switching characteristics machxo2 family data sheet lpddr 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.349 ? 0.381 ? 0.396 ui t dvedq input data hold after dqs input 0.665 ? 0.630 ? 0.613 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem lpddr serial data speed ? 120 ? 110 ? 96 mbps f sclk sclk frequency ? 60 ? 55 ? 48 mhz f lpddr lpddr data transfer rate 0 120 0 110 0 96 mbps ddr 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.347 ? 0.374 ? 0.393 ui t dvedq input data hold after dqs input 0.665 ? 0.637 ? 0.616 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem ddr serial data speed ? 140 ? 116 ? 98 mbps f sclk sclk frequency ? 70 ? 58 ? 49 mhz f mem_ddr mem ddr data transfer rate n/a 140 n/a 116 n/a 98 mbps ddr2 9 t dvadq input data valid after dqs input machxo2-1200/u and larger devices, right side only. ? 0.372 ? 0.394 ? 0.410 ui t dvedq input data hold after dqs input 0.690 ? 0.658 ? 0.618 ? ui t dqvbs output data invalid before dqs output 0.25 ? 0.25 ? 0.25 ? ui t dqvas output data invalid after dqs output 0.25 ? 0.25 ? 0.25 ? ui f data mem ddr serial data speed ? 140 ? 116 ? 98 mbps f sclk sclk frequency ? 70 ? 58 ? 49 mhz f mem_ddr2 mem ddr2 data transfer rate n/a 140 n/a 116 n/a 98 mbps 1. exact performance may vary with device and design implementa tion. commercial timing numbers are shown at 85c and 1.14v. othe r operating conditions, including i ndustrial, can be extracted from the diamond software. 2. general i/o timing numbers based on lvcmos 2.5, 8ma, 0pf load. 3. generic ddr timing numbers based on lvds i/o (for input, output, and clock ports). 4. ddr timing numbers based on sstl25. dd r2 timing numbers based on sstl18. lpddr timing numbers based in lvcmos18. 5. 7:1 lvds (gddr71) uses the lvds i/o standard (for input, output, and clock ports). 6. for generic ddrx1 mode t su = t ho = (t dve - t dva - 0.03ns)/2. 7. the t su_del and t h_del values use the sclk_zerhold default step size . each step is 167ps (-3), 182ps (-2), 195ps (-1). 8. this number for general purpose usage . duty cycle tolerance is +/-10%. 9. duty cycle is +/- 5% for system usage. 10. the above timing numbers are generated using the diamond design t ool. exact performance may vary with the device selected. parameter description device -3 -2 -1 units min. max. min. max. min. max.
3-29 dc and switching characteristics machxo2 family data sheet figure 3-5. receiver rx.clk.aligned and mem ddr input waveforms figure 3-6. receiver rx.clk.centered waveforms figure 3-7. transmitter tx.clk.aligned waveforms figure 3-8. transmitter tx.clk.centered and mem ddr output waveforms t dva or t dvadq t dve or t dvedq rx.aligned rx clk input or dqs input rx data input or dq input t ho t ho t su t su rx.centered rx clk input rx data input tx clk output t dia tx data output t dib tx.aligned t dia t dib tx clk output or dqs output t dva or t dqvas tx data output or dq output t dvb or t dqvbs tx.centered t dva or t dqvas t dvb or t dqvbs
3-30 dc and switching characteristics machxo2 family data sheet figure 3-9. gddr71 video timing waveforms figure 3-10. receiver gddr71_rx. waveforms figure 3-11. transmitter gddr71_tx. waveforms 756 mbps data out 756 mbps clock out 125 mhz clock in 125 mhz t dva t dve 01234560 t dia t dib 01234560
3-31 dc and switching characteristics machxo2 family data sheet sysclock pll timing over recommended operating conditions parameter descriptions conditions min. max. units f in input clock frequency (clki, clkfb) 7 400 mhz f out output clock frequency (clkop, clkos, clkos2) 1.5625 400 mhz f out2 output frequency (clkos3 cascaded from clkos2) 0.0122 400 mhz f vco pll vco frequency 200 800 mhz f pfd phase detector input frequency 7 400 mhz ac characteristics t dt output clock duty cycle without duty trim selected 3 45 55 % t dt_trim 7 edge duty trim accuracy -75 75 % t ph 4 output phase accuracy -6 6 % t opjit 1, 8 output clock period jitter f out > 100mhz ? 150 ps p-p f out < 100mhz ? 0.007 uipp output clock cycle-to-cycle jitter f out > 100mhz ? 180 ps p-p f out < 100mhz ? 0.009 uipp output clock phase jitter f pfd > 100mhz ? 160 ps p-p f pfd < 100mhz ? 0.011 uipp output clock period jitter (fractional-n) f out > 100mhz ? 230 ps p-p f out < 100mhz ? 0.12 uipp output clock cycle-to-cycle jitter ? (fractional-n) f out > 100mhz ? 230 ps p-p f out < 100mhz ? 0.12 uipp t spo static phase offset divider ratio = integer -120 120 ps t w output clock pulse width at 90% or 10% 3 0.9 ? ns t lock 2, 5 pll lock-in time ? 15 ms t unlock pll unlock time ? 50 ns t ipjit 6 input clock period jitter f pfd ? 20 mhz ? 1,000 ps p-p f pfd < 20 mhz ? 0.02 uipp t hi input clock high time 90% to 90% 0.5 ? ns t lo input clock low time 10% to 10% 0.5 ? ns t stable 5 standby high to pll stable ? 15 ms t rst rst/resetm pulse width 1 ? ns t rstrec rst recovery time 1 ? ns t rst_div resetc/d pulse width 10 ? ns t rstrec_div resetc/d recovery time 1 ? ns t rotate-setup phasestep setup time 10 ? ns
3-32 dc and switching characteristics machxo2 family data sheet t rotate_wd phasestep pulse width 4 ? vco cycles 1. period jitter sample is taken over 10,000 samples of the prim ary pll output with a clean refe rence clock. cycle-to-cycle jitt er is taken over 1000 cycles. phase jitter is taken over 2000 cycles. all values per jesd65b. 2. output clock is valid after t lock for pll reset and dynamic delay adjustment. 3. using lvds output buffers. 4. clkos as compared to clkop output for one phas e step at the maximum vco frequency. see tn1199, machxo2 sysclock pll design and usage guide for more details. 5. at minimum f pfd. as the f pfd increases the time will decrease to approximately 60% the value listed. 6. maximum allowed jitter on an input clock. pll unlock may occur if the input jitter exceeds this specification. jitter on the input clock may be transferred to the output clocks, resulting in jitter measurem ents outside the output specifications listed in this table. 7. edge duty trim accuracy is a percentage of the setting valu e. settings available are 70 ps, 140 ps, and 280 ps in addition to the default value of none. 8. jitter values measured with the internal oscillator operating. the jitter values wi ll increase with loading of the pld fabric and in the presence of sso noise. sysclock pll timing (continued) over recommended operating conditions parameter descriptions conditions min. max. units
3-33 dc and switching characteristics machxo2 family data sheet machxo2 oscillator output frequency machxo2 standby mode timing ? ze devices machxo2 standby mode timing ? hc/he devices symbol parameter min. typ. max units f max oscillator output frequency (commercial grade devices, ? 0 to 85c) 125.685 133 140.315 mhz oscillator output frequency (industrial grade devices, ? -40 to 100c) 124.355 133 141.645 mhz t dt output clock duty cycle 43 50 57 % t opjit 1 output clock period jitter 0.01 0.012 0.02 uipp t stableosc stdby low to oscillator stable 0.01 0.05 0.1 s 1. output clock period jitter specified at 133mhz. the values for lower frequencies will be smal ler uipp. the typical value for 133mhz is 95ps and for 2.08mhz the typical value is 1.54ns. symbol parameter device min. typ. max units t pwrdn userstdby high to stop all ? ? 13 ns t pwrup userstdby low to power up lcmxo2-256 ? s lcmxo2-640 ? s lcmxo2-1200 20 ? 50 s lcmxo2-2000 ? s lcmxo2-4000 ? s lcmxo2-7000 ? s t wstdby userstdby pulse width all 19 ? ? ns t bndgapstbl userstdby high to bandgap stable all ? ? 15 ns symbol parameter device min. typ. max units t pwrdn userstdby high to stop all ? ? 9 ns t pwrup userstdby low to power up lcmxo2-256 ? s lcmxo2-640 ? s lcmxo2-640u ? s lcmxo2-1200 20 ? 50 s lcmxo2-1200u ? s lcmxo2-2000 ? s lcmxo2-2000u ? s lcmxo2-4000 ? s lcmxo2-7000 ? s t wstdby userstdby pulse width all 18 ? ? ns userstdby t pwrup userstdby mode t pwrdn t wstdby bg, por
3-34 dc and switching characteristics machxo2 family data sheet flash download time 1, 2 jtag port timing specifications symbol parameter device typ. units t refresh por to device i/o active lcmxo2-256 0.6 ms lcmxo2-640 1.0 ms lcmxo2-640u 1.9 ms lcmxo2-1200 1.9 ms lcmxo2-1200u 1.4 ms lcmxo2-2000 1.4 ms lcmxo2-2000u 2.4 ms lcmxo2-4000 2.4 ms lcmxo2-7000 3.8 ms 1. assumes sysmem ebr initialized to an all zero pattern if they are used. 2. the flash download time is measured starting from the maximum voltage of por trip point. symbol parameter min. max. units f max tck clock frequency ? 25 mhz t btcph tck [bscan] clock pulse width high 20 ? ns t btcpl tck [bscan] clock pulse width low 20 ? ns t bts tck [bscan] setup time 10 ? ns t bth tck [bscan] hold time 8 ? ns t btco tap controller falling edge of clock to valid output ? 10 ns t btcodis tap controller falling edge of clock to valid disable ? 10 ns t btcoen tap controller falling edge of clock to valid enable ? 10 ns t btcrs bscan test capture register setup time 8 ? ns t btcrh bscan test capture register hold time 20 ? ns t butco bscan test update register, falling edge of clock to valid output ? 25 ns t btuodis bscan test update register, falling edge of clock to valid disable ? 25 ns t btupoen bscan test update register, falling edge of clock to valid enable ? 25 ns
3-35 dc and switching characteristics machxo2 family data sheet figure 3-12. jtag port timing waveforms tms tdi tck tdo data to be captured from i/o data to be driven out to i/o a t a d d i l a v a t a d d i l a v a t a d d i l a v a t a d d i l a v data captured t btcph t btcpl t btcoen t btcrs t btupoen t butco t btuodis t btcrh t btco t btcodis t bts t bth t btcp
3-36 dc and switching characteristics machxo2 family data sheet sysconfig port timing specifications i 2 c port timing specifications 1, 2 spi port timing specifications 1 symbol parameter min. max. units all configuration modes t prgm programn low pulse accept 55 ? ns t prgmj programn low pulse rejection ? 25 ns t initl initn low time ? 55 us t dppinit programn low to initn low ? 70 ns t dppdone programn low to done low ? 80 ns t iodiss programn low to i/o disable ? 120 ns slave spi f max cclk clock frequency ? 66 mhz t cclkh cclk clock pulse width high 7.5 ? ns t cclkl cclk clock pulse width low 7.5 ? ns t stsu cclk setup time 2 ? ns t sth cclk hold time 0 ? ns t stco cclk falling edge to valid output ? 10 ns t stoz cclk falling edge to valid disable ? 10 ns t stov cclk falling edge to valid enable ? 10 ns t scs chip select high time 25 ? ns t scss chip select setup time 3 ? ns t scsh chip select hold time 3 ? ns master spi f max mclk clock frequency ? 133 mhz t mclkh mclk clock pulse width high 3.75 ? ns t mclkl mclk clock pulse width low 3.75 ? ns t stsu mclk setup time 5 ? ns t sth mclk hold time 1 ? ns t csspi initn high to chip select low 100 200 ns t mclk initn high to first mclk edge 0.75 1 us symbol parameter min. max. units f max maximum scl clock frequency ? 400 khz 1. machxo2 supports the following modes: ? ? standard-mode (sm), with a bit rate up to 100 kbit/s (user and configuration mode) ? ? fast-mode (fm), with a bit rate up to 400 kbit/s (user and configuration mode) 2. refer to the i 2 c specification for timing requirements. symbol parameter min. max. units f max maximum sck clock frequency ? 45 mhz 1. applies to user mode only. for configur ation mode timing specifications, refer to sysconfig port timing specifications table in this data sheet.
3-37 dc and switching characteristics machxo2 family data sheet switching test conditions figure 3-13 shows the output test load used for ac testing. the specific values for resistance, capacitance, volt- age, and other test conditions are shown in table 3-5. figure 3-13. output test load, lvttl and lvcmos standards table 3-5. test fixture required components, non-terminated interfaces note: output test conditions for all other interfaces are determined by the respective standards. test condition r1 cl timing ref. vt lvttl and lvcmos settings (l -> h, h -> l) ? 0pf lvttl, lvcmos 3.3 = 1.5v ? lvcmos 2.5 = v ccio /2 ? lvcmos 1.8 = v ccio /2 ? lvcmos 1.5 = v ccio /2 ? lvcmos 1.2 = v ccio /2 ? lvttl and lvcmos 3.3 (z -> h) 188 0pf 1.5 v ol lvttl and lvcmos 3.3 (z -> l) 1.5 v oh other lvcmos (z -> h) v ccio /2 v ol other lvcmos (z -> l) v ccio /2 v oh lv t t l + lv c m o s ( h - > z ) v oh - 0.15 v ol lv t t l + lv c m o s ( l - > z ) v ol - 0.15 v oh dut v t r1 cl test poi n t
www.latticesemi.com 4-1 ds1035 pinout information_01.7 january 3013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. signal descriptions signal name i/o descriptions general purpose p[edge] [row/column number]_[a/b/c/d] i/o [edge] indicates the edge of the device on which the pad is located. valid edge designations are l (left), b (bottom), r (right), t (top). [row/column number] indicates the pfu row or the column of the device on which the pio group exists. when edge is t (top) or (bottom), only need to specify row number. when edge is l (left) or r (right), only need to specify column number. [a/b/c/d] indicates the pio within the group to which the pad is connected. some of these user-programmable pins are sh ared with special function pins. when not used as special function pins, these pins ca n be programmed as i/os for user logic. during configuration of the user-programmable i/os, the user has an option to tri-state the ? i/os and enable an internal pull-up, pull-down or buskeeper resistor. this option also applies to unused pins (or those not bonded to a pack age pin). the default during configuration is for user-programmable i/os to be tri-stated with an internal pull-down resistor enabled. when the device is erased, i/os will be tri-stated with an internal pull-down resistor enabled. some pins, such as programn and jtag pins, default to tri-stated i/os with pull-up resistors enabled when the device is erased. nc ? no connect. gnd ? gnd ? ground. dedicated pins. it is re commended that all g nds are tied together. vcc ? v cc ? the power supply pins for core logic. dedicated pins. it is recommended that all vccs are tied to the same supply. vcciox ? vccio ? the power supply pins for i/o bank x. dedicated pins. it is recommended that all vccios located in the same bank are tied to the same supply. pll and clock functions (used as user-programmable i/o pins when not used for pll or clock pins) [loc]_gpll[t, c]_in ? reference clock (pll) input pads: [loc] indicates location. valid designations are l (left pll) and r (right pll). t = true and c = complement. [loc]_gpll[t, c]_fb ? optional feedback (pll) input pads: [loc] indicates location. valid designations are l (left pll) and r (right pll). t = true and c = complement. pclk [n]_[2:0] ? primary clock pads. one to three clock pads per side. test and programming (dual function pins used for test access port and during sysconfig?) tms i test mode select input pin, used to control the 1149.1 state machine. tck i test clock input pin, used to clock the 1149.1 state machine. tdi i test data input pin, used to load data into the device using an 1149.1 state machine. tdo o output pin ? test data output pin used to shift data out of the device using 1149.1. jtagenb i optionally controls behavior of tdi, tdo, tms, tck. if the device is configured to use the jtag pins (tdi, tdo, tms, tck) as general purpose i/o, then: if jtagenb is low: tdi, tdo, tms and tck can function a general purpose i/o. if jtagenb is high: tdi, tdo, tms and tck function as jtag pins. for more details, refer to tn1204, machxo2 programming and configuration usage guide . configuration (dual function pins used during sysconfig) programn i initiates configuration sequence when asserted low. this pin always has an active pull-up. initn i/o open drain pin. indicates the fpga is ready to be configured. during configuration, a pull-up is enabled. machxo2 family data sheet pinout information
4-2 pinout information machxo2 family data sheet done i/o open drain pin. indicates that the configur ation sequence is complete, and the start-up sequence is in progress. mclk/cclk i/o input configuration clock for configuring an fp ga in slave spi mode. output configuration clock for configuring an fpga in spi and spim configuration modes. sn i slave spi active low chip select input. csspin i/o master spi active low chip select output. si/sispi i/o slave spi serial data input and master spi serial data output. so/spiso i/o slave spi serial data outp ut and master spi serial data input. scl i/o slave i 2 c clock input and master i 2 c clock output. sda i/o slave i 2 c data input and master i 2 c data output. signal name i/o descriptions general purpose
4-3 pinout information machxo2 family data sheet pin information summary machxo2-256 machxo2-640 machxo2-640u 32 qfn 1 64 ucbga 100 tqfp 132 csbga 100 tqfp 132 csbga 144 tqfp general purpose i/o per bank bank 0 8913131819 27 bank 1 2 12 14 14 20 20 26 bank 2 9 11 14 14 20 20 28 bank 3 2 12 14 14 20 20 26 bank 4 0 0 0 0 0 0 0 bank 5 0 0 0 0 0 0 0 total general purpose single ended i/o 21 44 55 55 78 79 107 differential i/o per bank bank 0 4 5 7 7 9 10 14 bank 1 167 71010 13 bank 2 457 71010 14 bank 3 167 71010 13 bank 4 0 0 0 0 0 0 0 bank 5 0 0 0 0 0 0 0 total general purpose differential i/o 10 22 28 28 39 40 54 dual function i/o 22 27 29 29 29 29 33 high-speed di fferential i/o bank 0 0 0 0 0 0 0 7 gearboxes number of 7:1 or 8:1 output gearbox available (bank 0) 000000 7 number of 7:1 or 8:1 input gearbox available (bank 2) 000000 7 dqs groups bank 1 0 0 0 0 0 0 2 vccio pins bank 0 2 2 2 2 2 2 3 bank 1 1 2 2 2 2 2 3 bank 2 2 2 2 2 2 2 3 bank 3 1 2 2 2 2 2 3 bank 4 0 0 0 0 0 0 0 bank 5 0 0 0 0 0 0 0 vcc 2 2 2 2 2 2 4 gnd 2 8 8 8 8 10 12 nc 0 1 26 58 3 32 8 total count of bonded pins 31 62 73 73 96 99 135 1. lattice recommends soldering the central thermal pad onto the top pcb ground for improved thermal resistance.
4-4 pinout information machxo2 family data sheet machxo2-1200 machxo2-1200u 100 tqfp 132 csbga 144 tqfp 25 wlcsp 256 ftbga general purpose i/o per bank bank 0 18252711 50 bank 1 212626 0 52 bank 2 202828 7 52 bank 3 202526 0 16 bank 4 0000 16 bank 5 0000 20 total general purpose single ended i/o 79 104 107 18 206 differential i/o per bank bank 0 9 13 14 5 25 bank 1 101313 0 26 bank 2 101414 2 26 bank 3 101213 0 8 bank 4 0000 8 bank 5 0000 10 total general purpose differential i/o 39 52 54 7 103 dual function i/o 31 33 33 18 33 high-speed diff erential i/o bank 0 4770 14 gearboxes number of 7:1 or 8:1 output gearbox available (bank 0) 4770 14 number of 7:1 or 8:1 input gearbox available (bank 2) 5770 14 dqs groups bank 1 1220 2 vccio pins bank 0 2331 4 bank 1 2330 4 bank 2 2331 4 bank 3 3330 1 bank 4 0000 2 bank 5 0000 1 vcc 2442 8 gnd 8 10 12 2 24 nc 1180 1 total count of bonded pins 98 130 135 24 254
4-5 pinout information machxo2 family data sheet machxo2-2000 machxo2-2000u 100 tqfp 132 csbga 144 tqfp 256 cabga 256 ftbga 484 ftbga general purpose i/o per bank bank 0 1825275050 70 bank 1 2126285252 68 bank 2 2028285252 72 bank 3 6 7 8 16 16 24 bank 4 6 8 101616 16 bank 5 8 10 10 20 20 28 total general purpose single-ended i/o 79 104 111 206 206 278 differential i/o per bank bank 0 9 13 14 25 25 35 bank 1 1013142626 34 bank 2 1014142626 36 bank 3 33488 12 bank 4 34588 8 bank 5 4 5 5 10 10 14 total general purpose differential i/o 39 52 56 103 103 139 dual function i/o 31 33 33 33 33 37 high-speed differential i/o bank 0 4 8 9 14 14 18 gearboxes number of 7:1 or 8:1 output gearbox available (bank 0) 4891414 18 number of 7:1 or 8:1 input gearbox available (bank 2) 10 14 14 14 14 18 dqs groups bank 1 12222 2 vccio pins bank 0 23344 10 bank 1 23344 10 bank 2 23344 10 bank 3 11111 3 bank 4 11122 4 bank 5 11111 3 vcc 24488 12 gnd 8 10 12 24 24 48 nc 11411 105 total count of bonded pins 98 130 139 254 254 378
4-6 pinout information machxo2 family data sheet machxo2-4000 132 csbga 144 tqfp 184 csbga 256 cabga 256 ftbga 332 cabga 484 fpbga general purpose i/o per bank bank 0 25273750506870 bank 1 26293752526868 bank 2 28293952527072 bank 3 7 9 1016162424 bank 4 8 10 12 16 16 16 16 bank 5 10101520202828 total general purpose single ended i/o 104 114 150 206 206 274 278 differential i/o per bank bank 0 13141825253435 bank 1 13141826263434 bank 2 14141926263536 bank 3 3 4 4 8 8 12 12 bank 4 4568888 bank 5 5 5 7 10 10 14 14 total general purpose differential i/o 52 56 72 103 103 137 139 dual function i/o 37 37 37 37 37 37 37 high-speed diff erential i/o bank 0 8 9 8 18 18 18 18 gearboxes number of 7:1 or 8:1 output gearbox available (bank 0) 8 9 9 18181818 number of 7:1 or 8:1 input gearbox available (bank 2) 14 14 12 18 18 18 18 dqs groups bank 1 2222222 vccio pins bank 0 3 3 3 4 4 4 10 bank 1 3 3 3 4 4 4 10 bank 2 3 3 3 4 4 4 10 bank 3 1111123 bank 4 1112214 bank 5 1111123 vcc 44488812 gnd 10121624242748 nc 111115105 total count of bonded pins 130 142 182 254 254 326 378
4-7 pinout information machxo2 family data sheet machxo2-7000 144 tqfp 256 cabga 256 ftbga 332 cabga 484 fpbga general purpose i/o per bank bank 0 2750506882 bank 1 2952527084 bank 2 2952527084 bank 3 9 16 16 24 28 bank 4 1016161624 bank 5 1020203032 total general purpose single ended i/o 114 206 206 278 334 differential i/o per bank bank 0 1425253441 bank 1 1426263542 bank 2 1426263542 bank 3 4 8 8 12 14 bank 4 588812 bank 5 5 10 10 15 16 total general purpose differential i/o 56 103 103 139 167 dual function i/o 37 37 37 37 37 high-speed diff erential i/o bank 0 9 20 20 21 21 gearboxes number of 7:1 or 8:1 output gearbox available (bank 0) 9 20202121 number of 7:1 or 8:1 input gearbox available (bank 2) 14 20 20 21 21 dqs groups bank 1 22222 vccio pins bank 0 344410 bank 1 344410 bank 2 344410 bank 3 11123 bank 4 12214 bank 5 11123 vcc 488812 gnd 1224242748 nc 111149 total count of bonded pins 142 254 254 330 434
4-8 pinout information machxo2 family data sheet for further information for further information regarding logic signal connections for various packages please refer to the machxo2 device pinout files. thermal management thermal management is recommended as part of any sound fpga design methodology. to assess the thermal characteristics of a system, lattice sp ecifies a maximum allowable junction temperature in all device data sheets. users must complete a thermal analysis of their specific design to ensure that the device and package do not exceed the junction temperature limits. refer to the thermal management document to find the device/package specific thermal values. for further information for further information regarding ther mal management, refer to the following: ? thermal management document ? tn1198, power estimation and management for machxo2 devices ? the power calculator tool is included with the lattice design tools, or as a standalone download from ? www.latticesemi.com/software
www.latticesemi.com 5-1 ds1035 order info_01.9 january 2013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. machxo2 part number description lcmxo2 ? xxxx x x x ? x xxxxxx x xx xx device status blank = production device es = engineering sample r1 = production release 1 device 50 = wlcsp package, 50 parts per reel shipping method blank = trays tr = tape and reel grade c = commercial i = industrial logic capacit y 256 = 256 luts 640 = 640 luts 1200 = 1280 luts 2000 = 2112 luts 4000 = 4320 luts 7000 = 6864 luts pow e r/ pe r f or m an ce z = low power h = high performance i/ o count blank = standard device u = ultra high i/o device supply voltage c = 2.5v/3.3v e = 1.2v speed 1 = slowest 2 3 = fastest 4 = slowest 5 6 = fastest low power high performance packag e uwg25 = 25-ball halogen-free wlcsp (0.4 mm pitch) sg32 = 32-pin halogen-free qfn (0.5 mm pitch) umg64 = 64-ball halogen-free ucbga (0.4 mm pitch) tg100 = 100-pin halogen-free tqfp tg144 = 144-pin halogen-free tqfp mg132 = 132-ball halogen-free csbga (0.5 mm pitch) mg184 = 184-ball halogen-free csbga (0.5mm pitch) bg256 = 256-ball halogen-free cabga (0.8 mm pitch) ftg256 = 256-ball halogen-free ftbga (1.0 mm pitch) bg332 = 332-ball halogen-free cabga fg484 = 484-ball halogen-free fpbga (1.0 mm pitch) device family machxo2 pld ordering information machxo2 devices have top-side markings, for commercial and industrial grades, as shown below: notes: 1. markings are abbreviated for small packages. 2. see pcn 05a-12 for information regarding a c hange to the top-side mark logo. lcmxo2-1200ze 1tg100c datecode lcmxo2 256ze 1ug64c datecode machxo2 family data sheet ordering information
5-2 ordering information machxo2 family data sheet ultra low power commercial grade devi ces, halogen free (rohs) packaging part number luts supply voltage grade package leads temp. lcmxo2-256ze-1sg32c 256 1.2v -1 halogen-free qfn 32 com lcmxo2-256ze-2sg32c 256 1.2v -2 halogen-free qfn 32 com lcmxo2-256ze-3sg32c 256 1.2v -3 halogen-free qfn 32 com lcmxo2-256ze-1umg64c 256 1.2v -1 halogen-free ucbga 64 com lcmxo2-256ze-2umg64c 256 1.2v -2 halogen-free ucbga 64 com lcmxo2-256ze-3umg64c 256 1.2v -3 halogen-free ucbga 64 com lcmxo2-256ze-1tg100c 256 1.2v -1 halogen-free tqfp 100 com lcmxo2-256ze-2tg100c 256 1.2v -2 halogen-free tqfp 100 com lcmxo2-256ze-3tg100c 256 1.2v -3 halogen-free tqfp 100 com lcmxo2-256ze-1mg132c 256 1.2v -1 halogen-free csbga 132 com lcmxo2-256ze-2mg132c 256 1.2v -2 halogen-free csbga 132 com lcmxo2-256ze-3mg132c 256 1.2v -3 halogen-free csbga 132 com part number luts supply voltage grade package leads temp. lcmxo2-640ze-1tg100c 640 1.2v -1 halogen-free tqfp 100 com lcmxo2-640ze-2tg100c 640 1.2v -2 halogen-free tqfp 100 com lcmxo2-640ze-3tg100c 640 1.2v -3 halogen-free tqfp 100 com LCMXO2-640ZE-1MG132C 640 1.2v -1 halogen-free csbga 132 com lcmxo2-640ze-2mg132c 640 1.2v -2 halogen-free csbga 132 com lcmxo2-640ze-3mg132c 640 1.2v -3 halogen-free csbga 132 com part number luts supply voltage grade package leads temp. lcmxo2-1200ze-1tg100c 1280 1.2v -1 halogen-free tqfp 100 com lcmxo2-1200ze-2tg100c 1280 1.2v -2 halogen-free tqfp 100 com lcmxo2-1200ze-3tg100c 1280 1.2v -3 halogen-free tqfp 100 com lcmxo2-1200ze-1mg132c 1280 1.2v -1 halogen-free csbga 132 com lcmxo2-1200ze-2mg132c 1280 1.2v -2 halogen-free csbga 132 com lcmxo2-1200ze-3mg132c 1280 1.2v -3 halogen-free csbga 132 com lcmxo2-1200ze-1tg144c 1280 1.2v -1 halogen-free tqfp 144 com lcmxo2-1200ze-2tg144c 1280 1.2v -2 halogen-free tqfp 144 com lcmxo2-1200ze-3tg144c 1280 1.2v -3 halogen-free tqfp 144 com
5-3 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-2000ze-1tg100c 2112 1.2v -1 halogen-free tqfp 100 com lcmxo2-2000ze-2tg100c 2112 1.2v -2 halogen-free tqfp 100 com lcmxo2-2000ze-3tg100c 2112 1.2v -3 halogen-free tqfp 100 com lcmxo2-2000ze-1mg132c 2112 1.2v -1 halogen-free csbga 132 com lcmxo2-2000ze-2mg132c 2112 1.2v -2 halogen-free csbga 132 com lcmxo2-2000ze-3mg132c 2112 1.2v -3 halogen-free csbga 132 com lcmxo2-2000ze-1tg144c 2112 1.2v -1 halogen-free tqfp 144 com lcmxo2-2000ze-2tg144c 2112 1.2v -2 halogen-free tqfp 144 com lcmxo2-2000ze-3tg144c 2112 1.2v -3 halogen-free tqfp 144 com lcmxo2-2000ze-1bg256c 2112 1.2v -1 halogen-free cabga 256 com lcmxo2-2000ze-2bg256c 2112 1.2v -2 halogen-free cabga 256 com lcmxo2-2000ze-3bg256c 2112 1.2v -3 halogen-free cabga 256 com lcmxo2-2000ze-1ftg256c 2112 1.2v -1 halogen-free ftbga 256 com lcmxo2-2000ze-2ftg256c 2112 1.2v -2 halogen-free ftbga 256 com lcmxo2-2000ze-3ftg256c 2112 1.2v -3 halogen-free ftbga 256 com part number luts supply voltage grade package leads temp. lcmxo2-4000ze-1mg132c 4320 1.2v -1 halogen-free csbga 132 com lcmxo2-4000ze-2mg132c 4320 1.2v -2 halogen-free csbga 132 com lcmxo2-4000ze-3mg132c 4320 1.2v -3 halogen-free csbga 132 com lcmxo2-4000ze-1tg144c 4320 1.2v -1 halogen-free tqfp 144 com lcmxo2-4000ze-2tg144c 4320 1.2v -2 halogen-free tqfp 144 com lcmxo2-4000ze-3tg144c 4320 1.2v -3 halogen-free tqfp 144 com lcmxo2-4000ze-1bg256c 4320 1.2v -1 halogen-free cabga 256 com lcmxo2-4000ze-2bg256c 4320 1.2v -2 halogen-free cabga 256 com lcmxo2-4000ze-3bg256c 4320 1.2v -3 halogen-free cabga 256 com lcmxo2-4000ze-1ftg256c 4320 1.2v -1 halogen-free ftbga 256 com lcmxo2-4000ze-2ftg256c 4320 1.2v -2 halogen-free ftbga 256 com lcmxo2-4000ze-3ftg256c 4320 1.2v -3 halogen-free ftbga 256 com lcmxo2-4000ze-1bg332c 4320 1.2v -1 halogen-free cabga 332 com lcmxo2-4000ze-2bg332c 4320 1.2v -2 halogen-free cabga 332 com lcmxo2-4000ze-3bg332c 4320 1.2v -3 halogen-free cabga 332 com lcmxo2-4000ze-1fg484c 4320 1.2v -1 halogen-free fpbga 484 com lcmxo2-4000ze-2fg484c 4320 1.2v -2 halogen-free fpbga 484 com lcmxo2-4000ze-3fg484c 4320 1.2v -3 halogen-free fpbga 484 com
5-4 ordering information machxo2 family data sheet high-performance commercial grade devices with voltag e regulator, halogen free (rohs) packaging part number luts supply voltage grade package leads temp. lcmxo2-7000ze-1tg144c 6864 1.2v -1 halogen-free tqfp 144 com lcmxo2-7000ze-2tg144c 6864 1.2v -2 halogen-free tqfp 144 com lcmxo2-7000ze-3tg144c 6864 1.2v -3 halogen-free tqfp 144 com lcmxo2-7000ze-1bg256c 6864 1.2v -1 halogen-free cabga 256 com lcmxo2-7000ze-2bg256c 6864 1.2v -2 halogen-free cabga 256 com lcmxo2-7000ze-3bg256c 6864 1.2v -3 halogen-free cabga 256 com lcmxo2-7000ze-1ftg256c 6864 1.2v -1 halogen-free ftbga 256 com lcmxo2-7000ze-2ftg256c 6864 1.2v -2 halogen-free ftbga 256 com lcmxo2-7000ze-3ftg256c 6864 1.2v -3 halogen-free ftbga 256 com lcmxo2-7000ze-1bg332c 6864 1.2v -1 halogen-free cabga 332 com lcmxo2-7000ze-2bg332c 6864 1.2v -2 halogen-free cabga 332 com lcmxo2-7000ze-3bg332c 6864 1.2v -3 halogen-free cabga 332 com lcmxo2-7000ze-1fg484c 6864 1.2v -1 halogen-free fpbga 484 com lcmxo2-7000ze-2fg484c 6864 1.2v -2 halogen-free fpbga 484 com lcmxo2-7000ze-3fg484c 6864 1.2v -3 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-1200ze-1tg100cr1 1 1280 1.2v -1 halogen-free tqfp 100 com lcmxo2-1200ze-2tg100cr1 1 1280 1.2v -2 halogen-free tqfp 100 com lcmxo2-1200ze-3tg100cr1 1 1280 1.2v -3 halogen-free tqfp 100 com lcmxo2-1200ze-1mg132cr1 1 1280 1.2v -1 halogen-free csbga 132 com lcmxo2-1200ze-2mg132cr1 1 1280 1.2v -2 halogen-free csbga 132 com lcmxo2-1200ze-3mg132cr1 1 1280 1.2v -3 halogen-free csbga 132 com lcmxo2-1200ze-1tg144cr1 1 1280 1.2v -1 halogen-free tqfp 144 com lcmxo2-1200ze-2tg144cr1 1 1280 1.2v -2 halogen-free tqfp 144 com lcmxo2-1200ze-3tg144cr1 1 1280 1.2v -3 halogen-free tqfp 144 com 1. specifications for the ?lcmxo2-1200ze-s peed package cr1? are the same as the ?lcm xo2-1200ze-speed package c? devices respec- tively, except as specified in the r1 device specifications section on page 5-18 of this data sheet. part number luts supply voltage grade package leads temp. lcmxo2-256hc-4sg32c 256 2.5v/3.3v -4 halogen-free qfn 32 com lcmxo2-256hc-5sg32c 256 2.5v/3.3v -5 halogen-free qfn 32 com lcmxo2-256hc-6sg32c 256 2.5v/3.3v -6 halogen-free qfn 32 com lcmxo2-256hc-4umg64c 256 2.5v/3.3v -4 halogen-free ucbga 64 com lcmxo2-256hc-5umg64c 256 2.5v/3.3v -5 halogen-free ucbga 64 com lcmxo2-256hc-6umg64c 256 2.5v/3.3v -6 halogen-free ucbga 64 com lcmxo2-256hc-4tg100c 256 2.5v/3.3v -4 halogen-free tqfp 100 com lcmxo2-256hc-5tg100c 256 2.5v/3.3v -5 halogen-free tqfp 100 com lcmxo2-256hc-6tg100c 256 2.5v/3.3v -6 halogen-free tqfp 100 com lcmxo2-256hc-4mg132c 256 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-256hc-5mg132c 256 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-256hc-6mg132c 256 2.5v/3.3v -6 halogen-free csbga 132 com
5-5 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-640hc-4tg100c 640 2.5v/3.3v -4 halogen-free tqfp 100 com lcmxo2-640hc-5tg100c 640 2.5v/3.3v -5 halogen-free tqfp 100 com lcmxo2-640hc-6tg100c 640 2.5v/3.3v -6 halogen-free tqfp 100 com lcmxo2-640hc-4mg132c 640 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-640hc-5mg132c 640 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-640hc-6mg132c 640 2.5v/3.3v -6 halogen-free csbga 132 com part number luts supply voltage grade package leads temp. lcmxo2-640uhc-4tg144c 640 2.5v/3. 3v -4 halogen-free tqfp 144 com lcmxo2-640uhc-5tg144c 640 2.5v/3. 3v -5 halogen-free tqfp 144 com lcmxo2-640uhc-6tg144c 640 2.5v/3. 3v -6 halogen-free tqfp 144 com part number luts supply voltage grade package leads temp. lcmxo2-1200hc-4tg100c 1280 2.5v/3.3v -4 halogen-free tqfp 100 com lcmxo2-1200hc-5tg100c 1280 2.5v/3.3v -5 halogen-free tqfp 100 com lcmxo2-1200hc-6tg100c 1280 2.5v/3.3v -6 halogen-free tqfp 100 com lcmxo2-1200hc-4mg132c 1280 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-1200hc-5mg132c 1280 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-1200hc-6mg132c 1280 2.5v/3.3v -6 halogen-free csbga 132 com lcmxo2-1200hc-4tg144c 1280 2.5v/3.3v -4 halogen-free tqfp 144 com lcmxo2-1200hc-5tg144c 1280 2.5v/3.3v -5 halogen-free tqfp 144 com lcmxo2-1200hc-6tg144c 1280 2.5v/3.3v -6 halogen-free tqfp 144 com part number luts supply voltage grade package leads temp. lcmxo2-1200uhc-4ftg256c 1280 2.5v/3.3v -4 halogen-free ftbga 256 com lcmxo2-1200uhc-5ftg256c 1280 2.5v/3.3v -5 halogen-free ftbga 256 com lcmxo2-1200uhc-6ftg256c 1280 2.5v/3.3v -6 halogen-free ftbga 256 com
5-6 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-2000hc-4tg100c 2112 2.5v/3.3v -4 halogen-free tqfp 100 com lcmxo2-2000hc-5tg100c 2112 2.5v/3.3v -5 halogen-free tqfp 100 com lcmxo2-2000hc-6tg100c 2112 2.5v/3.3v -6 halogen-free tqfp 100 com lcmxo2-2000hc-4mg132c 2112 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-2000hc-5mg132c 2112 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-2000hc-6mg132c 2112 2.5v/3.3v -6 halogen-free csbga 132 com lcmxo2-2000hc-4tg144c 2112 2.5v/3.3v -4 halogen-free tqfp 144 com lcmxo2-2000hc-5tg144c 2112 2.5v/3.3v -5 halogen-free tqfp 144 com lcmxo2-2000hc-6tg144c 2112 2.5v/3.3v -6 halogen-free tqfp 144 com lcmxo2-2000hc-4bg256c 2112 2.5v/3.3v -4 halogen-free cabga 256 com lcmxo2-2000hc-5bg256c 2112 2.5v/3.3v -5 halogen-free cabga 256 com lcmxo2-2000hc-6bg256c 2112 2.5v/3.3v -6 halogen-free cabga 256 com lcmxo2-2000hc-4ftg256c 2112 2.5v/3.3v -4 halogen-free ftbga 256 com lcmxo2-2000hc-5ftg256c 2112 2.5v/3.3v -5 halogen-free ftbga 256 com lcmxo2-2000hc-6ftg256c 2112 2.5v/3.3v -6 halogen-free ftbga 256 com part number luts supply voltage grade package leads temp. lcmxo2-2000uhc-4fg484c 2112 2.5v/3.3v -4 halogen-free fpbga 484 com lcmxo2-2000uhc-5fg484c 2112 2.5v/3.3v -5 halogen-free fpbga 484 com lcmxo2-2000uhc-6fg484c 2112 2.5v/3.3v -6 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-4000hc-4mg132c 4320 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-4000hc-5mg132c 4320 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-4000hc-6mg132c 4320 2.5v/3.3v -6 halogen-free csbga 132 com lcmxo2-4000hc-4tg144c 4320 2.5v/3.3v -4 halogen-free tqfp 144 com lcmxo2-4000hc-5tg144c 4320 2.5v/3.3v -5 halogen-free tqfp 144 com lcmxo2-4000hc-6tg144c 4320 2.5v/3.3v -6 halogen-free tqfp 144 com lcmxo2-4000hc-4bg256c 4320 2.5v/3.3v -4 halogen-free cabga 256 com lcmxo2-4000hc-5bg256c 4320 2.5v/3.3v -5 halogen-free cabga 256 com lcmxo2-4000hc-6bg256c 4320 2.5v/3.3v -6 halogen-free cabga 256 com lcmxo2-4000hc-4ftg256c 4320 2.5v/3.3v -4 halogen-free ftbga 256 com lcmxo2-4000hc-5ftg256c 4320 2.5v/3.3v -5 halogen-free ftbga 256 com lcmxo2-4000hc-6ftg256c 4320 2.5v/3.3v -6 halogen-free ftbga 256 com lcmxo2-4000hc-4bg332c 4320 2.5v/3.3v -4 halogen-free cabga 332 com lcmxo2-4000hc-5bg332c 4320 2.5v/3.3v -5 halogen-free cabga 332 com lcmxo2-4000hc-6bg332c 4320 2.5v/3.3v -6 halogen-free cabga 332 com lcmxo2-4000hc-4fg484c 4320 2.5v/3.3v -4 halogen-free fpbga 484 com lcmxo2-4000hc-5fg484c 4320 2.5v/3.3v -5 halogen-free fpbga 484 com lcmxo2-4000hc-6fg484c 4320 2.5v/3.3v -6 halogen-free fpbga 484 com
5-7 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-7000hc-4tg144c 6864 2.5v/3.3v -4 halogen-free tqfp 144 com lcmxo2-7000hc-5tg144c 6864 2.5v/3.3v -5 halogen-free tqfp 144 com lcmxo2-7000hc-6tg144c 6864 2.5v/3.3v -6 halogen-free tqfp 144 com lcmxo2-7000hc-4bg256c 6864 2.5v/3.3v -4 halogen-free cabga 256 com lcmxo2-7000hc-5bg256c 6864 2.5v/3.3v -5 halogen-free cabga 256 com lcmxo2-7000hc-6bg256c 6864 2.5v/3.3v -6 halogen-free cabga 256 com lcmxo2-7000hc-4ftg256c 6864 2.5v/3.3v -4 halogen-free ftbga 256 com lcmxo2-7000hc-5ftg256c 6864 2.5v/3.3v -5 halogen-free ftbga 256 com lcmxo2-7000hc-6ftg256c 6864 2.5v/3.3v -6 halogen-free ftbga 256 com lcmxo2-7000hc-4bg332c 6864 2.5v/3.3v -4 halogen-free cabga 332 com lcmxo2-7000hc-5bg332c 6864 2.5v/3.3v -5 halogen-free cabga 332 com lcmxo2-7000hc-6bg332c 6864 2.5v/3.3v -6 halogen-free cabga 332 com lcmxo2-7000hc-4fg484c 6864 2.5v/3.3v -4 halogen-free fpbga 484 com lcmxo2-7000hc-5fg484c 6864 2.5v/3.3v -5 halogen-free fpbga 484 com lcmxo2-7000hc-6fg484c 6864 2.5v/3.3v -6 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-1200hc-4tg100cr1 1 1280 2.5v/3.3v -4 halogen-free tqfp 100 com lcmxo2-1200hc-5tg100cr1 1 1280 2.5v/3.3v -5 halogen-free tqfp 100 com lcmxo2-1200hc-6tg100cr1 1 1280 2.5v/3.3v -6 halogen-free tqfp 100 com lcmxo2-1200hc-4mg132cr1 1 1280 2.5v/3.3v -4 halogen-free csbga 132 com lcmxo2-1200hc-5mg132cr1 1 1280 2.5v/3.3v -5 halogen-free csbga 132 com lcmxo2-1200hc-6mg132cr1 1 1280 2.5v/3.3v -6 halogen-free csbga 132 com lcmxo2-1200hc-4tg144cr1 1 1280 2.5v/3.3v -4 halogen-free tqfp 144 com lcmxo2-1200hc-5tg144cr1 1 1280 2.5v/3.3v -5 halogen-free tqfp 144 com lcmxo2-1200hc-6tg144cr1 1 1280 2.5v/3.3v -6 halogen-free tqfp 144 com 1. specifications for the ?lcmxo2-1200hc-s peed package cr1? are the same as the ?lcm xo2-1200hc-speed package c? devices respec- tively, except as specified in the r1 device specifications section on page 5-18 of this data sheet.
5-8 ordering information machxo2 family data sheet high-performance commercial grade devices without voltag e regulator, halogen free (rohs) packaging part number luts supply voltage grade package leads temp. lcmxo2-2000he-4tg100c 2112 1.2v -4 halogen-free tqfp 100 com lcmxo2-2000he-5tg100c 2112 1.2v -5 halogen-free tqfp 100 com lcmxo2-2000he-6tg100c 2112 1.2v -6 halogen-free tqfp 100 com lcmxo2-2000he-4tg144c 2112 1.2v -4 halogen-free tqfp 144 com lcmxo2-2000he-5tg144c 2112 1.2v -5 halogen-free tqfp 144 com lcmxo2-2000he-6tg144c 2112 1.2v -6 halogen-free tqfp 144 com lcmxo2-2000he-4mg132c 2112 1.2v -4 halogen-free csbga 132 com lcmxo2-2000he-5mg132c 2112 1.2v -5 halogen-free csbga 132 com lcmxo2-2000he-6mg132c 2112 1.2v -6 halogen-free csbga 132 com lcmxo2-2000he-4bg256c 2112 1.2v -4 halogen-free cabga 256 com lcmxo2-2000he-5bg256c 2112 1.2v -5 halogen-free cabga 256 com lcmxo2-2000he-6bg256c 2112 1.2v -6 halogen-free cabga 256 com lcmxo2-2000he-4ftg256c 2112 1.2v -4 halogen-free ftbga 256 com lcmxo2-2000he-5ftg256c 2112 1.2v -5 halogen-free ftbga 256 com lcmxo2-2000he-6ftg256c 2112 1.2v -6 halogen-free ftbga 256 com part number luts supply voltage grade package leads temp. lcmxo2-2000uhe-4fg484c 2112 1.2v -4 halogen-free fpbga 484 com lcmxo2-2000uhe-5fg484c 2112 1.2v -5 halogen-free fpbga 484 com lcmxo2-2000uhe-6fg484c 2112 1.2v -6 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-4000he-4tg144c 4320 1.2v -4 halogen-free tqfp 144 com lcmxo2-4000he-5tg144c 4320 1.2v -5 halogen-free tqfp 144 com lcmxo2-4000he-6tg144c 4320 1.2v -6 halogen-free tqfp 144 com lcmxo2-4000he-4mg132c 4320 1.2v -4 halogen-free csbga 132 com lcmxo2-4000he-5mg132c 4320 1.2v -5 halogen-free csbga 132 com lcmxo2-4000he-6mg132c 4320 1.2v -6 halogen-free csbga 132 com lcmxo2-4000he-4bg256c 4320 1.2v -4 halogen-free cabga 256 com lcmxo2-4000he-4mg184c 4320 1.2v -4 halogen-free csbga 184 com lcmxo2-4000he-5mg184c 4320 1.2v -5 halogen-free csbga 184 com lcmxo2-4000he-6mg184c 4320 1.2v -6 halogen-free csbga 184 com lcmxo2-4000he-5bg256c 4320 1.2v -5 halogen-free cabga 256 com lcmxo2-4000he-6bg256c 4320 1.2v -6 halogen-free cabga 256 com lcmxo2-4000he-4ftg256c 4320 1.2v -4 halogen-free ftbga 256 com lcmxo2-4000he-5ftg256c 4320 1.2v -5 halogen-free ftbga 256 com lcmxo2-4000he-6ftg256c 4320 1.2v -6 halogen-free ftbga 256 com lcmxo2-4000he-4bg332c 4320 1.2v -4 halogen-free cabga 332 com lcmxo2-4000he-5bg332c 4320 1.2v -5 halogen-free cabga 332 com lcmxo2-4000he-6bg332c 4320 1.2v -6 halogen-free cabga 332 com
5-9 ordering information machxo2 family data sheet ultra low power industrial grade devices, haloge n free (rohs) packaging lcmxo2-4000he-4fg484c 4320 1.2v -4 halogen-free fpbga 484 com lcmxo2-4000he-5fg484c 4320 1.2v -5 halogen-free fpbga 484 com lcmxo2-4000he-6fg484c 4320 1.2v -6 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-7000he-4tg144c 6864 1.2v -4 halogen-free tqfp 144 com lcmxo2-7000he-5tg144c 6864 1.2v -5 halogen-free tqfp 144 com lcmxo2-7000he-6tg144c 6864 1.2v -6 halogen-free tqfp 144 com lcmxo2-7000he-4bg256c 6864 1.2v -4 halogen-free cabga 256 com lcmxo2-7000he-5bg256c 6864 1.2v -5 halogen-free cabga 256 com lcmxo2-7000he-6bg256c 6864 1.2v -6 halogen-free cabga 256 com lcmxo2-7000he-4ftg256c 6864 1.2v -4 halogen-free ftbga 256 com lcmxo2-7000he-5ftg256c 6864 1.2v -5 halogen-free ftbga 256 com lcmxo2-7000he-6ftg256c 6864 1.2v -6 halogen-free ftbga 256 com lcmxo2-7000he-4bg332c 6864 1.2v -4 halogen-free cabga 332 com lcmxo2-7000he-5bg332c 6864 1.2v -5 halogen-free cabga 332 com lcmxo2-7000he-6bg332c 6864 1.2v -6 halogen-free cabga 332 com lcmxo2-7000he-4fg484c 6864 1.2v -4 halogen-free fpbga 484 com lcmxo2-7000he-5fg484c 6864 1.2v -5 halogen-free fpbga 484 com lcmxo2-7000he-6fg484c 6864 1.2v -6 halogen-free fpbga 484 com part number luts supply voltage grade package leads temp. lcmxo2-256ze-1sg32i 256 1.2v -1 halogen-free qfn 32 ind lcmxo2-256ze-2sg32i 256 1.2v -2 halogen-free qfn 32 ind lcmxo2-256ze-3sg32i 256 1.2v -3 halogen-free qfn 32 ind lcmxo2-256ze-1umg64i 256 1.2v -1 halogen-free ucbga 64 ind lcmxo2-256ze-2umg64i 256 1.2v -2 halogen-free ucbga 64 ind lcmxo2-256ze-3umg64i 256 1.2v -3 halogen-free ucbga 64 ind lcmxo2-256ze-1tg100i 256 1.2v -1 halogen-free tqfp 100 ind lcmxo2-256ze-2tg100i 256 1.2v -2 halogen-free tqfp 100 ind lcmxo2-256ze-3tg100i 256 1.2v -3 halogen-free tqfp 100 ind lcmxo2-256ze-1mg132i 256 1.2v -1 halogen-free csbga 132 ind lcmxo2-256ze-2mg132i 256 1.2v -2 halogen-free csbga 132 ind lcmxo2-256ze-3mg132i 256 1.2v -3 halogen-free csbga 132 ind part number luts supply voltage grade package leads temp. lcmxo2-640ze-1tg100i 640 1.2v -1 halogen-free tqfp 100 ind lcmxo2-640ze-2tg100i 640 1.2v -2 halogen-free tqfp 100 ind lcmxo2-640ze-3tg100i 640 1.2v -3 halogen-free tqfp 100 ind lcmxo2-640ze-1mg132i 640 1.2v -1 halogen-free csbga 132 ind part number luts supply voltage grade package leads temp.
5-10 ordering information machxo2 family data sheet lcmxo2-640ze-2mg132i 640 1.2v -2 halogen-free csbga 132 ind lcmxo2-640ze-3mg132i 640 1.2v -3 halogen-free csbga 132 ind part number luts supply voltage grade package leads temp. lcmxo2-4000he-4mg184i 4320 1.2v -4 halogen-free csbga 184 ind lcmxo2-4000he-5mg184i 4320 1.2v -5 halogen-free csbga 184 ind lcmxo2-4000he-6mg184i 4320 1.2v -6 halogen-free cabga 184 ind part number luts supply voltage grade package leads temp.
5-11 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-1200ze-1uwg25itr 1 1280 1.2v -1 halogen-free wlcsp 25 ind lcmxo2-1200ze-1uwg25itr50 2 1280 1.2v -1 halogen-free wlcsp 25 ind lcmxo2-1200ze-1tg100i 1280 1.2v -1 halogen-free tqfp 100 ind lcmxo2-1200ze-2tg100i 1280 1.2v -2 halogen-free tqfp 100 ind lcmxo2-1200ze-3tg100i 1280 1.2v -3 halogen-free tqfp 100 ind lcmxo2-1200ze-1mg132i 1280 1.2v -1 halogen-free csbga 132 ind lcmxo2-1200ze-2mg132i 1280 1.2v -2 halogen-free csbga 132 ind lcmxo2-1200ze-3mg132i 1280 1.2v -3 halogen-free csbga 132 ind lcmxo2-1200ze-1tg144i 1280 1.2v -1 halogen-free tqfp 144 ind lcmxo2-1200ze-2tg144i 1280 1.2v -2 halogen-free tqfp 144 ind lcmxo2-1200ze-3tg144i 1280 1.2v -3 halogen-free tqfp 144 ind 1. this part number has a tape and reel quantity of 5,000 units with a minimum order quantity of 10,000 units. order quantities must be in increments of 10,000 units. for example, a 10,000 unit order will be shipped in two reels with one reel containing 5,000 units and the other reel with less than 5,000 units (depending on te st yields). unserviced backlog will be canceled. 2. this part number has a tape and reel quantity of 50 units with a minimum order quantity of 50. order quantities must be in in crements of 50 units. for example, a 1000 unit order will be shipped as 20 reels of 50 units each. part number luts supply voltage grade package leads temp. lcmxo2-2000ze-1tg100i 2112 1.2v -1 halogen-free tqfp 100 ind lcmxo2-2000ze-2tg100i 2112 1.2v -2 halogen-free tqfp 100 ind lcmxo2-2000ze-3tg100i 2112 1.2v -3 halogen-free tqfp 100 ind lcmxo2-2000ze-1mg132i 2112 1.2v -1 halogen-free csbga 132 ind lcmxo2-2000ze-2mg132i 2112 1.2v -2 halogen-free csbga 132 ind lcmxo2-2000ze-3mg132i 2112 1.2v -3 halogen-free csbga 132 ind lcmxo2-2000ze-1tg144i 2112 1.2v -1 halogen-free tqfp 144 ind lcmxo2-2000ze-2tg144i 2112 1.2v -2 halogen-free tqfp 144 ind lcmxo2-2000ze-3tg144i 2112 1.2v -3 halogen-free tqfp 144 ind lcmxo2-2000ze-1bg256i 2112 1.2v -1 halogen-free cabga 256 ind lcmxo2-2000ze-2bg256i 2112 1.2v -2 halogen-free cabga 256 ind lcmxo2-2000ze-3bg256i 2112 1.2v -3 halogen-free cabga 256 ind lcmxo2-2000ze-1ftg256i 2112 1.2v -1 halogen-free ftbga 256 ind lcmxo2-2000ze-2ftg256i 2112 1.2v -2 halogen-free ftbga 256 ind lcmxo2-2000ze-3ftg256i 2112 1.2v -3 halogen-free ftbga 256 ind 1. samples can be ordered in minimum order quantities and incremen ts of 50 units. production volume s can be ordered in minimum o rder quantities and increments of 10,000 units for the lcmxo2-1200ze in the 25-ball wlcsp package.
5-12 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-4000ze-1mg132i 4320 1.2v -1 halogen-free csbga 132 ind lcmxo2-4000ze-2mg132i 4320 1.2v -2 halogen-free csbga 132 ind lcmxo2-4000ze-3mg132i 4320 1.2v -3 halogen-free csbga 132 ind lcmxo2-4000ze-1tg144i 4320 1.2v -1 halogen-free tqfp 144 ind lcmxo2-4000ze-2tg144i 4320 1.2v -2 halogen-free tqfp 144 ind lcmxo2-4000ze-3tg144i 4320 1.2v -3 halogen-free tqfp 144 ind lcmxo2-4000ze-1bg256i 4320 1.2v -1 halogen-free cabga 256 ind lcmxo2-4000ze-2bg256i 4320 1.2v -2 halogen-free cabga 256 ind lcmxo2-4000ze-3bg256i 4320 1.2v -3 halogen-free cabga 256 ind lcmxo2-4000ze-1ftg256i 4320 1.2v -1 halogen-free ftbga 256 ind lcmxo2-4000ze-2ftg256i 4320 1.2v -2 halogen-free ftbga 256 ind lcmxo2-4000ze-3ftg256i 4320 1.2v -3 halogen-free ftbga 256 ind lcmxo2-4000ze-1bg332i 4320 1.2v -1 halogen-free cabga 332 ind lcmxo2-4000ze-2bg332i 4320 1.2v -2 halogen-free cabga 332 ind lcmxo2-4000ze-3bg332i 4320 1.2v -3 halogen-free cabga 332 ind lcmxo2-4000ze-1fg484i 4320 1.2v -1 halogen-free fpbga 484 ind lcmxo2-4000ze-2fg484i 4320 1.2v -2 halogen-free fpbga 484 ind lcmxo2-4000ze-3fg484i 4320 1.2v -3 halogen-free fpbga 484 ind part number luts supply voltage grade package leads temp. lcmxo2-7000ze-1tg144i 6864 1.2v -1 halogen-free tqfp 144 ind lcmxo2-7000ze-2tg144i 6864 1.2v -2 halogen-free tqfp 144 ind lcmxo2-7000ze-3tg144i 6864 1.2v -3 halogen-free tqfp 144 ind lcmxo2-7000ze-1bg256i 6864 1.2v -1 halogen-free cabga 256 ind lcmxo2-7000ze-2bg256i 6864 1.2v -2 halogen-free cabga 256 ind lcmxo2-7000ze-3bg256i 6864 1.2v -3 halogen-free cabga 256 ind lcmxo2-7000ze-1ftg256i 6864 1.2v -1 halogen-free ftbga 256 ind lcmxo2-7000ze-2ftg256i 6864 1.2v -2 halogen-free ftbga 256 ind lcmxo2-7000ze-3ftg256i 6864 1.2v -3 halogen-free ftbga 256 ind lcmxo2-7000ze-1bg332i 6864 1.2v -1 halogen-free cabga 332 ind lcmxo2-7000ze-2bg332i 6864 1.2v -2 halogen-free cabga 332 ind lcmxo2-7000ze-3bg332i 6864 1.2v -3 halogen-free cabga 332 ind lcmxo2-7000ze-1fg484i 6864 1.2v -1 halogen-free fpbga 484 ind lcmxo2-7000ze-2fg484i 6864 1.2v -2 halogen-free fpbga 484 ind lcmxo2-7000ze-3fg484i 6864 1.2v -3 halogen-free fpbga 484 ind
5-13 ordering information machxo2 family data sheet high-performance industrial gr ade devices with voltage regul ator, halogen free (rohs) packaging part number luts supply voltage grade package leads temp. lcmxo2-1200ze-1tg100ir1 1 1280 1.2v -1 halogen-free tqfp 100 ind lcmxo2-1200ze-2tg100ir1 1 1280 1.2v -2 halogen-free tqfp 100 ind lcmxo2-1200ze-3tg100ir1 1 1280 1.2v -3 halogen-free tqfp 100 ind lcmxo2-1200ze-1mg132ir1 1 1280 1.2v -1 halogen-free csbga 132 ind lcmxo2-1200ze-2mg132ir1 1 1280 1.2v -2 halogen-free csbga 132 ind lcmxo2-1200ze-3mg132ir1 1 1280 1.2v -3 halogen-free csbga 132 ind lcmxo2-1200ze-1tg144ir1 1 1280 1.2v -1 halogen-free tqfp 144 ind lcmxo2-1200ze-2tg144ir1 1 1280 1.2v -2 halogen-free tqfp 144 ind lcmxo2-1200ze-3tg144ir1 1 1280 1.2v -3 halogen-free tqfp 144 ind 1. specifications for the ?lcmxo2-1200ze-s peed package ir1? are the same as the ?lcm xo2-1200ze-speed package i? devices respec- tively, except as specified in the r1 device specifications section on page 5-18 of this data sheet. part number luts supply voltage grade package leads temp. lcmxo2-256hc-4sg32i 256 2.5v/3.3v -4 halogen-free qfn 32 ind lcmxo2-256hc-5sg32i 256 2.5v/3.3v -5 halogen-free qfn 32 ind lcmxo2-256hc-6sg32i 256 2.5v/3.3v -6 halogen-free qfn 32 ind lcmxo2-256hc-4umg64i 256 2.5v/3.3v -4 halogen-free ucbga 64 ind lcmxo2-256hc-5umg64i 256 2.5v/3.3v -5 halogen-free ucbga 64 ind lcmxo2-256hc-6umg64i 256 2.5v /3.3v -6 halogen-free ucbga 64 ind lcmxo2-256hc-4tg100i 256 2.5v/3.3v -4 halogen-free tqfp 100 ind lcmxo2-256hc-5tg100i 256 2.5v/3.3v -5 halogen-free tqfp 100 ind lcmxo2-256hc-6tg100i 256 2.5v/3.3v -6 halogen-free tqfp 100 ind lcmxo2-256hc-4mg132i 256 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-256hc-5mg132i 256 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-256hc-6mg132i 256 2.5v/3.3v -6 halogen-free csbga 132 ind part number luts supply voltage grade package leads temp. lcmxo2-640hc-4tg100i 640 2.5v/3 .3v -4 halogen-free tqfp 100 ind lcmxo2-640hc-5tg100i 640 2.5v/3 .3v -5 halogen-free tqfp 100 ind lcmxo2-640hc-6tg100i 640 2.5v/3 .3v -6 halogen-free tqfp 100 ind lcmxo2-640hc-4mg132i 640 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-640hc-5mg132i 640 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-640hc-6mg132i 640 2.5v/3.3v -6 halogen-free csbga 132 ind part number luts supply voltage grade package leads temp. lcmxo2-640uhc-4tg144i 640 2.5v/3. 3v -4 halogen-free tqfp 144 ind lcmxo2-640uhc-5tg144i 640 2.5v/3. 3v -5 halogen-free tqfp 144 ind lcmxo2-640uhc-6tg144i 640 2.5v/3. 3v -6 halogen-free tqfp 144 ind
5-14 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-1200hc-4tg100i 1280 2.5v/3.3v -4 halogen-free tqfp 100 ind lcmxo2-1200hc-5tg100i 1280 2.5v/3.3v -5 halogen-free tqfp 100 ind lcmxo2-1200hc-6tg100i 1280 2.5v/3.3v -6 halogen-free tqfp 100 ind lcmxo2-1200hc-4mg132i 1280 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-1200hc-5mg132i 1280 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-1200hc-6mg132i 1280 2.5v/3.3v -6 halogen-free csbga 132 ind lcmxo2-1200hc-4tg144i 1280 2.5v/3.3v -4 halogen-free tqfp 144 ind lcmxo2-1200hc-5tg144i 1280 2.5v/3.3v -5 halogen-free tqfp 144 ind lcmxo2-1200hc-6tg144i 1280 2.5v/3.3v -6 halogen-free tqfp 144 ind part number luts supply voltage grade package leads temp. lcmxo2-1200uhc-4ftg256i 1280 2.5v/3.3v -4 halogen-free ftbga 256 ind lcmxo2-1200uhc-5ftg256i 1280 2.5v/3.3v -5 halogen-free ftbga 256 ind lcmxo2-1200uhc-6ftg256i 1280 2.5v/3.3v -6 halogen-free ftbga 256 ind part number luts supply voltage grade package leads temp. lcmxo2-2000hc-4tg100i 2112 2.5v/3.3v -4 halogen-free tqfp 100 ind lcmxo2-2000hc-5tg100i 2112 2.5v/3.3v -5 halogen-free tqfp 100 ind lcmxo2-2000hc-6tg100i 2112 2.5v/3.3v -6 halogen-free tqfp 100 ind lcmxo2-2000hc-4mg132i 2112 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-2000hc-5mg132i 2112 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-2000hc-6mg132i 2112 2.5v/3.3v -6 halogen-free csbga 132 ind lcmxo2-2000hc-4tg144i 2112 2.5v/3.3v -4 halogen-free tqfp 144 ind lcmxo2-2000hc-5tg144i 2112 2.5v/3.3v -5 halogen-free tqfp 144 ind lcmxo2-2000hc-6tg144i 2112 2.5v/3.3v -6 halogen-free tqfp 144 ind lcmxo2-2000hc-4bg256i 2112 2.5v/3.3v -4 halogen-free cabga 256 ind lcmxo2-2000hc-5bg256i 2112 2.5v/3.3v -5 halogen-free cabga 256 ind lcmxo2-2000hc-6bg256i 2112 2.5v/3.3v -6 halogen-free cabga 256 ind lcmxo2-2000hc-4ftg256i 2112 2.5v/3.3v -4 halogen-free ftbga 256 ind lcmxo2-2000hc-5ftg256i 2112 2.5v/3.3v -5 halogen-free ftbga 256 ind lcmxo2-2000hc-6ftg256i 2112 2.5v/3.3v -6 halogen-free ftbga 256 ind part number luts supply voltage grade package leads temp. lcmxo2-2000uhc-4fg484i 2112 2.5v/3.3v -4 halogen-free fpbga 484 ind lcmxo2-2000uhc-5fg484i 2112 2.5v/3.3v -5 halogen-free fpbga 484 ind lcmxo2-2000uhc-6fg484i 2112 2.5v/3.3v -6 halogen-free fpbga 484 ind
5-15 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-4000hc-4tg144i 4320 2.5v/3.3v -4 halogen-free tqfp 144 ind lcmxo2-4000hc-5tg144i 4320 2.5v/3.3v -5 halogen-free tqfp 144 ind lcmxo2-4000hc-6tg144i 4320 2.5v/3.3v -6 halogen-free tqfp 144 ind lcmxo2-4000hc-4mg132i 4320 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-4000hc-5mg132i 4320 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-4000hc-6mg132i 4320 2.5v/3.3v -6 halogen-free csbga 132 ind lcmxo2-4000hc-4bg256i 4320 2.5v/3.3v -4 halogen-free cabga 256 ind lcmxo2-4000hc-5bg256i 4320 2.5v/3.3v -5 halogen-free cabga 256 ind lcmxo2-4000hc-6bg256i 4320 2.5v/3.3v -6 halogen-free cabga 256 ind lcmxo2-4000hc-4ftg256i 4320 2.5v/3.3v -4 halogen-free ftbga 256 ind lcmxo2-4000hc-5ftg256i 4320 2.5v/3.3v -5 halogen-free ftbga 256 ind lcmxo2-4000hc-6ftg256i 4320 2.5v/3.3v -6 halogen-free ftbga 256 ind lcmxo2-4000hc-4bg332i 4320 2.5v/3.3v -4 halogen-free cabga 332 ind lcmxo2-4000hc-5bg332i 4320 2.5v/3.3v -5 halogen-free cabga 332 ind lcmxo2-4000hc-6bg332i 4320 2.5v/3.3v -6 halogen-free cabga 332 ind lcmxo2-4000hc-4fg484i 4320 2.5v/3.3v -4 halogen-free fpbga 484 ind lcmxo2-4000hc-5fg484i 4320 2.5v/3.3v -5 halogen-free fpbga 484 ind lcmxo2-4000hc-6fg484i 4320 2.5v/3.3v -6 halogen-free fpbga 484 ind part number luts supply voltage grade package leads temp. lcmxo2-7000hc-4tg144i 6864 2.5v/3.3v -4 halogen-free tqfp 144 ind lcmxo2-7000hc-5tg144i 6864 2.5v/3.3v -5 halogen-free tqfp 144 ind lcmxo2-7000hc-6tg144i 6864 2.5v/3.3v -6 halogen-free tqfp 144 ind lcmxo2-7000hc-4bg256i 6864 2.5v/3.3v -4 halogen-free cabga 256 ind lcmxo2-7000hc-5bg256i 6864 2.5v/3.3v -5 halogen-free cabga 256 ind lcmxo2-7000hc-6bg256i 6864 2.5v/3.3v -6 halogen-free cabga 256 ind lcmxo2-7000hc-4ftg256i 6864 2.5v/3.3v -4 halogen-free ftbga 256 ind lcmxo2-7000hc-5ftg256i 6864 2.5v/3.3v -5 halogen-free ftbga 256 ind lcmxo2-7000hc-6ftg256i 6864 2.5v/3.3v -6 halogen-free ftbga 256 ind lcmxo2-7000hc-4bg332i 6864 2.5v/3.3v -4 halogen-free cabga 332 ind lcmxo2-7000hc-5bg332i 6864 2.5v/3.3v -5 halogen-free cabga 332 ind lcmxo2-7000hc-6bg332i 6864 2.5v/3.3v -6 halogen-free cabga 332 ind lcmxo2-7000hc-4fg484i 6864 2.5v/3.3v -4 halogen-free fpbga 484 ind lcmxo2-7000hc-5fg484i 6864 2.5v/3.3v -5 halogen-free fpbga 484 ind lcmxo2-7000hc-6fg484i 6864 2.5v/3.3v -6 halogen-free fpbga 484 ind
5-16 ordering information machxo2 family data sheet high performance industrial grade devices without voltage regulator, halogen free (rohs) packaging part number luts supply voltage grade package leads temp. lcmxo2-1200hc-4tg100ir1 1 1280 2.5v/3.3v -4 halogen-free tqfp 100 ind lcmxo2-1200hc-5tg100ir1 1 1280 2.5v/3.3v -5 halogen-free tqfp 100 ind lcmxo2-1200hc-6tg100ir1 1 1280 2.5v/3.3v -6 halogen-free tqfp 100 ind lcmxo2-1200hc-4mg132ir1 1 1280 2.5v/3.3v -4 halogen-free csbga 132 ind lcmxo2-1200hc-5mg132ir1 1 1280 2.5v/3.3v -5 halogen-free csbga 132 ind lcmxo2-1200hc-6mg132ir1 1 1280 2.5v/3.3v -6 halogen-free csbga 132 ind lcmxo2-1200hc-4tg144ir1 1 1280 2.5v/3.3v -4 halogen-free tqfp 144 ind lcmxo2-1200hc-5tg144ir1 1 1280 2.5v/3.3v -5 halogen-free tqfp 144 ind lcmxo2-1200hc-6tg144ir1 1 1280 2.5v/3.3v -6 halogen-free tqfp 144 ind 1. specifications for the ?lcmxo2-1200hc-s peed package ir1? are the same as the ?lcm xo2-1200ze-speed package i? devices respec- tively, except as specified in the r1 device specifications section on page 5-18 of this data sheet. part number luts supply voltage grade package leads temp. lcmxo2-2000he-4tg100i 2112 1.2v -4 halogen-free tqfp 100 ind lcmxo2-2000he-5tg100i 2112 1.2v -5 halogen-free tqfp 100 ind lcmxo2-2000he-6tg100i 2112 1.2v -6 halogen-free tqfp 100 ind lcmxo2-2000he-4mg132i 2112 1.2v -4 halogen-free csbga 132 ind lcmxo2-2000he-5mg132i 2112 1.2v -5 halogen-free csbga 132 ind lcmxo2-2000he-6mg132i 2112 1.2v -6 halogen-free csbga 132 ind lcmxo2-2000he-4tg144i 2112 1.2v -4 halogen-free tqfp 144 ind lcmxo2-2000he-5tg144i 2112 1.2v -5 halogen-free tqfp 144 ind lcmxo2-2000he-6tg144i 2112 1.2v -6 halogen-free tqfp 144 ind lcmxo2-2000he-4bg256i 2112 1.2v -4 halogen-free cabga 256 ind lcmxo2-2000he-5bg256i 2112 1.2v -5 halogen-free cabga 256 ind lcmxo2-2000he-6bg256i 2112 1.2v -6 halogen-free cabga 256 ind lcmxo2-2000he-4ftg256i 2112 1.2v -4 halogen-free ftbga 256 ind lcmxo2-2000he-5ftg256i 2112 1.2v -5 halogen-free ftbga 256 ind lcmxo2-2000he-6ftg256i 2112 1.2v -6 halogen-free ftbga 256 ind part number luts supply voltage grade package leads temp. lcmxo2-2000uhe-4fg484i 2112 1.2v -4 halogen-free fpbga 484 ind lcmxo2-2000uhe-5fg484i 2112 1.2v -5 halogen-free fpbga 484 ind lcmxo2-2000uhe-6fg484i 2112 1.2v -6 halogen-free fpbga 484 ind
5-17 ordering information machxo2 family data sheet part number luts supply voltage grade package leads temp. lcmxo2-4000he-4mg132i 4320 1.2v -4 halogen-free csbga 132 ind lcmxo2-4000he-5mg132i 4320 1.2v -5 halogen-free csbga 132 ind lcmxo2-4000he-6mg132i 4320 1.2v -6 halogen-free csbga 132 ind lcmxo2-4000he-4tg144i 4320 1.2v -4 halogen-free tqfp 144 ind lcmxo2-4000he-5tg144i 4320 1.2v -5 halogen-free tqfp 144 ind lcmxo2-4000he-6tg144i 4320 1.2v -6 halogen-free tqfp 144 ind lcmxo2-4000he-4bg256i 4320 1.2v -4 halogen-free cabga 256 ind lcmxo2-4000he-5bg256i 4320 1.2v -5 halogen-free cabga 256 ind lcmxo2-4000he-6bg256i 4320 1.2v -6 halogen-free cabga 256 ind lcmxo2-4000he-4ftg256i 4320 1.2v -4 halogen-free ftbga 256 ind lcmxo2-4000he-5ftg256i 4320 1.2v -5 halogen-free ftbga 256 ind lcmxo2-4000he-6ftg256i 4320 1.2v -6 halogen-free ftbga 256 ind lcmxo2-4000he-4bg332i 4320 1.2v -4 halogen-free cabga 332 ind lcmxo2-4000he-5bg332i 4320 1.2v -5 halogen-free cabga 332 ind lcmxo2-4000he-6bg332i 4320 1.2v -6 halogen-free cabga 332 ind lcmxo2-4000he-4fg484i 4320 1.2v -4 halogen-free fpbga 484 ind lcmxo2-4000he-5fg484i 4320 1.2v -5 halogen-free fpbga 484 ind lcmxo2-4000he-6fg484i 4320 1.2v -6 halogen-free fpbga 484 ind part number luts supply voltage grade package leads temp. lcmxo2-7000he-4tg144i 6864 1.2v -4 halogen-free tqfp 144 ind lcmxo2-7000he-5tg144i 6864 1.2v -5 halogen-free tqfp 144 ind lcmxo2-7000he-6tg144i 6864 1.2v -6 halogen-free tqfp 144 ind lcmxo2-7000he-4bg256i 6864 1.2v -4 halogen-free cabga 256 ind lcmxo2-7000he-5bg256i 6864 1.2v -5 halogen-free cabga 256 ind lcmxo2-7000he-6bg256i 6864 1.2v -6 halogen-free cabga 256 ind lcmxo2-7000he-4ftg256i 6864 1.2v -4 halogen-free ftbga 256 ind lcmxo2-7000he-5ftg256i 6864 1.2v -5 halogen-free ftbga 256 ind lcmxo2-7000he-6ftg256i 6864 1.2v -6 halogen-free ftbga 256 ind lcmxo2-7000he-4bg332i 6864 1.2v -4 halogen-free cabga 332 ind lcmxo2-7000he-5bg332i 6864 1.2v -5 halogen-free cabga 332 ind lcmxo2-7000he-6bg332i 6864 1.2v -6 halogen-free cabga 332 ind lcmxo2-7000he-4fg484i 6864 1.2v -4 halogen-free fpbga 484 ind lcmxo2-7000he-5fg484i 6864 1.2v -5 halogen-free fpbga 484 ind lcmxo2-7000he-6fg484i 6864 1.2v -6 halogen-free fpbga 484 ind
5-18 ordering information machxo2 family data sheet r1 device specifications the lcmxo2-1200ze/hc ?r1? devices have the same spec ifications as their standard (non-r1) counterparts except as listed below. for more details on the r1 to standard migration refer to an8086, designing for migration from machxo2-1200-r1 to standard non-r1) devices . ? the user flash memory (ufm ) cannot be programmed thro ugh the internal wishbone interface. it can still be programmed through the jtag/spi/i 2 c ports. ? the on-chip differential input termination resistor value is higher than intended. it is approximately 200 ? as opposed to the intended 100 ? . it is recommended to use external termination resistors for differential inputs. the on-chip termination resistors can be disabled through lattice design software. ? soft error detection logic may not produce the correct result when it is run for the first time after configuration. to use this feature, discard the result fr om the first operation. subsequent o perations will produce the correct result. ? under certain conditions, iih exceeds data sheet spec ifications. the following tabl e provides more details: ? the user spi interface does not operate correctly in some situations. during master read access and slave write access, the last byte received does not generate the rrdy interrupt. ? in gddrx2, gddrx4 and gddr71 modes, eclksync may ha ve a glitch in the outp ut under certain condi- tions, leading to possible loss of synchronization. ? when using the hard i 2 c ip core, the i 2 c status registers i2c_1_sr and i2c_2_sr may not update correctly. ? pll lock signal will glitch high when coming out of standby. this glitch lasts for about 10 sec before returning low. ? dual boot only available on hc devices, requires tying vcc and vccio2 to the same 3.3v or 2.5v supply. condition clamp pad rising iih max. pad falling iih min. steady state pad high iih steady state pad low iil vpad > vccio off 1ma -1ma 1ma 10a vpad = vccio on 10a -10a 10a 10a vpad = vccio off 1ma -1ma 1ma 10a vpad < vccio off 10a -10a 10a 10a
april 2012 data sheet ds1035 ? 2012 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. www.latticesemi.com 6-1 ds1035 further info_01.3 for further information a variety of technical notes for the machxo2 family are available on the lattice web site. ? tn1198, power estimation and management for machxo2 devices ? tn1199, machxo2 sysclock pll design and usage guide ? tn1201, memory usage guide for machxo2 devices ? tn1202, machxo2 sysio usage guide ? tn1203, implementing high-speed interfaces with machxo2 devices ? tn1204, machxo2 programming and configuration usage guide ? tn1205, using user flash memory and hardened control functions in machxo2 devices ? tn1206, machxo2 sram crc error detection usage guide ? tn1207, using traceid in machxo2 devices ? tn1074, pcb layout recommendations for bga packages ? tn1087, minimizing system interruption during configuration using transfr technology ? an8086, designing for migration from machxo2-1200-r1 to standard (non-r1) devices ? an8066, boundary scan testability wi th lattice sysio capability ? machxo2 device pinout files ? thermal management document ? lattice design tools for further information on interface standards, refer to the following web sites: ? jedec standards (lvttl, lvcmos, lvds, ddr, ddr2, lpddr): www.jedec.org ? pci: www.pcisig.com machxo2 family data sheet supplemental information
january 2013 data sheet ds1035 ? 2013 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the specifications and information herein are subject to change without notice. www.latticesemi.com 7-1 ds1035 revision history date version section change summary november 2010 01.0 ? initial release. january 2011 01.1 all included ultra-high i/o devices. dc and switching characteristics recommended operating conditions table ? added footnote 3. dc electrical characteristics table ? updated data for i il , i ih . v hyst typ- ical values updated. generic ddrx2 outputs with clock and data aligned at pin (gddrx2_tx.eclk.aligned) using pclk pin for clock input tables ? updated data for t dia and t dib. generic ddrx4 outputs with clock and data aligned at pin (gddrx4_tx.eclk.aligned) using pclk pin for clock input tables ? updated data for t dia and t dib. power-on-reset voltage levels table - clarified note 3. clarified vccio related recommended operating conditions specifica- tions. added power supply ramp rate requirements. added power supply ramp rates table. updated programming/erase specifications table. removed references to v ccp. pinout information included number of 7:1 and 8: 1 gearboxes (input and output) in the pin information summary tables. removed references to v ccp. april 2011 01.2 ? data sheet status c hanged from advance to preliminary. introduction updated machxo2 family selection guide table. architecture updated supported input standards table. updated sysmem memory primitives diagram. added differential sstl and hstl io standards. dc and switching characteristics updates following parameters: por voltage levels, dc electrical char- acteristics, static supply current for ze/he/hc devices, static power consumption contribution of different components ? ze devices, pro- gramming and erase flash supply current. added vref specifications to sysio recommended operating condi- tions. updating timing information based on characterization. added differential sstl and hstl io standards. ordering information added ordering part numbers for r1 devices, and devices in wlcsp packages. added r1 device specifications. may 2011 01.3 multiple replaced ?sed? with ?sram crc error detection? throughout the doc- ument. dc and switching characteristics added footnote 1 to program erase specifications table. pinout information updated pin information summary tables. signal name so/sispiso changed to so /spiso in the signal descrip- tions table. machxo2 family data sheet revision history
7-2 revision history machxo2 family data sheet august 2011 01.4 architecture updated informati on in clock/control distribution network and sys- clock phase locked loops (plls). dc and switching characteristics updated i il and i ih conditions in the dc electrical characteristics table. pinout information included number of 7:1 and 8: 1 gearboxes (input and output) in the pin information summary tables. updated pin information summary table: dual function i/o, dqs groups bank 1, total general purpose single-ended i/o, differential ? i/o per bank, total count of bonded pins, gearboxes. added column of data for machxo2-2000 49 wlcsp. ordering information updated r1 device specif ications text section with information on migration from machxo2-1200-r1 to standard (non-r1) devices. corrected supply voltage typo for part numbers: lcmx02-2000uhe- 4fg484i, lcmx02-2000uhe-5fg 484i, lcmx02-2000uhe-6fg484i. added footnote for wlcsp package parts. supplemental information removed reference to stand-alone power calculator for machxo2 devices. added reference to an8086, designing for migration from machxo2-1200-r1 to standard (non-r1) devices. august 2011 01.5 dc and switching characteristics updated esd information. ordering information updated footnote for ordering wlcsp devices. february 2012 01.6 ? data sheet status changed from preliminary to final. introduction machxo2 family selection guide table ? removed references to ? 49-ball wlcsp. dc and switching characteristics updated flash download time table. modified storage temperature in the absolute maximum ratings sec- tion. updated i dk max in hot socket specifications table. modified static supply current tables for ze and hc/he devices. updated power supply ramp rates table. updated programming and erase supply current tables. updated data in the external switching characteristics table. corrected absolute maximum ratings for dedicated input voltage applied for lcmxo2 hc. dc electrical characteristics table ? minor corrections to conditions for i il , i ih. pinout information removed references to 49-ball wlcsp. signal descriptions table ? updated description for gnd, vcc, and vcciox. updated pin information summary ta ble ? number of vccios, gnds, vccs, and total count of bonded pins for machxo2-256, 640, and 640u and dual function i/o for machxo2-4000 332cabga. ordering information removed references to 49-ball wlcsp february 2012 01.7 all updated document with new corporate logo. march 2012 01.8 introduction added 32 qfn packaging information to features bullets and machxo2 family selection guide table. dc and switching characteristics changed ?standby? to ?userstdby? in standby mode timing dia- gram. pinout information removed footnote from pin information summary tables. date version section change summary
7-3 revision history machxo2 family data sheet march 2012 (cont.) 01.8 (cont.) pinout information (cont.) added 32 qfn package to pin information summary table. ordering information updated part number description and ordering information tables for 32 qfn package. updated topside mark diagram in the ordering information section. april 2012 01.9 architecture removed references to tn1200. ordering information updated the device stat us portion of the machxo2 part number description to include the 50 parts per reel for the wlcsp package. added new part number and footnote 2 for lcmxo2-1200ze- 1uwg25itr50. updated footnote 1 for lc mxo2-1200ze-1uwg25itr. supplemental information removed references to tn1200. january 2013 02.0 introduction updated the total number ios to include jtagenb. architecture supported output st andards table ? added 3.3 v ccio (typ.) to lvds row. changed sram crc error detection to soft error detection. dc and switching characteristics power supply ramp rates table ? updated units column for t ramp symbol. added new maximum sysio buffer performance table. sysclock pll timing table ? upda ted min. column values for f in , f out , f out2 and f pfd parameters. added t spo parameter. updated footnote 6. machxo2 oscillator output frequency table ? updated symbol name for t stableosc. dc electrical characteristics table ? updated conditions for i il, i ih sym- bols. corrected parameters tdqvbs and tdqvas corrected machxo2 ze parameters tdvadq and tdvedq pinout information included the machxo2-4000he 184 csbga package. ordering information updated part number. date version section change summary


▲Up To Search▲   

 
Price & Availability of LCMXO2-640ZE-1MG132C

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X